summaryrefslogtreecommitdiff
path: root/Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty
diff options
context:
space:
mode:
authorKarl Berry <karl@freefriends.org>2013-09-17 22:16:07 +0000
committerKarl Berry <karl@freefriends.org>2013-09-17 22:16:07 +0000
commit5d3eded8ad72ddcafd63144edcd885a7ba0b4b75 (patch)
treefef63988dc328afbe009cc54117d49f264351c2a /Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty
parentee2e061286e8dd9aaeec0f661c8ea41027660a89 (diff)
simurgh (17sep13)
git-svn-id: svn://tug.org/texlive/trunk@31684 c570f23f-e606-0410-a88d-b1316a301751
Diffstat (limited to 'Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty')
-rw-r--r--Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty90
1 files changed, 90 insertions, 0 deletions
diff --git a/Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty b/Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty
new file mode 100644
index 00000000000..de349a599c6
--- /dev/null
+++ b/Master/texmf-dist/tex/lualatex/simurgh/simurgh-arydshln.sty
@@ -0,0 +1,90 @@
+\NeedsTeXFormat{LaTeX2e}
+\ProvidesPackage{simurgh-arydshln}[2013/12/01 v0.01a changes to `arydshln.sty']
+\def\endarray{\adl@endarray \egroup \adl@arrayrestore \egroup}
+\def\endtabular{\endarray $\egroup\@RTLtabfalse}
+\expandafter\let\csname endtabular*\endcsname\endtabular
+\let\adl@array\@array
+\def\@array{\adl@everyvbox\everyvbox
+ \everyvbox{\adl@arrayinit \the\adl@everyvbox \everyvbox\adl@everyvbox}%
+ \ifadl@inactive \adl@inactivate \else \adl@activate \fi
+ \let\adl@noalign\noalign
+ \adl@array}
+\ifadl@usingarypkg
+\def\@testpach{\@chclass
+ \ifnum \@lastchclass=6 \@ne \@chnum \@ne \else
+ \ifnum \@lastchclass=7 5 \else
+ \ifnum \@lastchclass=8 \tw@ \else
+ \ifnum \@lastchclass=9 \thr@@
+ \else \z@
+ \ifnum \@lastchclass = 10 \else
+ \edef\@nextchar{\expandafter\string\@nextchar}%
+ \@chnum
+ \if \@nextchar c\z@ \else
+ \if \@nextchar \if@RTLtab r\else l\fi\@ne \else
+ \if \@nextchar \if@RTLtab l\else r\fi\tw@ \else
+ \z@ \@chclass
+ \if\@nextchar |\@ne \let\@arrayrule\adl@arrayrule \else
+ \if\@nextchar :\@ne \let\@arrayrule\adl@arraydashrule \else
+ \if\@nextchar ;\@ne \let\@arrayrule\adl@argarraydashrule \else
+ \if \@nextchar !6 \else
+ \if \@nextchar @7 \else
+ \if \@nextchar <8 \else
+ \if \@nextchar >9 \else
+ 10
+ \@chnum
+ \if \@nextchar m\thr@@\else
+ \if \@nextchar p4 \else
+ \if \@nextchar b5 \else
+ \z@ \@chclass \z@ \@preamerr \z@ \fi \fi \fi \fi \fi \fi
+ \fi \fi \fi \fi \fi \fi \fi \fi \fi \fi \fi \fi}
+
+\def\@classz{\@classx
+ \@tempcnta \count@
+ \prepnext@tok
+ \@addtopreamble{\ifcase \@chnum
+ \hfil
+ \adl@putlrc{\d@llarbegin \insert@column \d@llarend}\hfil \or
+ \hskip1sp\adl@putlrc{\d@llarbegin \insert@column \d@llarend}\hfil \or
+ \hfil\hskip1sp\adl@putlrc{\d@llarbegin \insert@column \d@llarend}\or
+ \setbox\adl@box\hbox \adl@startmbox{\@nextchar}\insert@column
+ \adl@endmbox\or
+ \setbox\adl@box\vtop \@startpbox{\@nextchar}\insert@column \@endpbox \or
+ \setbox\adl@box\vbox \@startpbox{\@nextchar}\insert@column \@endpbox
+ \fi}\prepnext@tok}
+\def\adl@class@start{4}
+\def\adl@class@iiiorvii{7}
+
+\else
+\def\@testpach#1{\@chclass \ifnum \@lastchclass=\tw@ 4\relax \else
+ \ifnum \@lastchclass=\thr@@ 5\relax \else
+ \z@ \if #1c\@chnum \z@ \else
+ \if \if@RTLtab#1r\else#1l\fi\@chnum \@ne \else
+ \if \if@RTLtab#1l\else#1r\fi\@chnum \tw@ \else
+ \@chclass
+ \if #1|\@ne \let\@arrayrule\adl@arrayrule \else
+ \if #1:\@ne \let\@arrayrule\adl@arraydashrule \else
+ \if #1;\@ne \let\@arrayrule\adl@argarraydashrule \else
+ \if #1@\tw@ \else
+ \if #1p\thr@@ \else \z@ \@preamerr 0\fi
+ \fi \fi \fi \fi \fi \fi \fi \fi \fi}
+
+\def\@arrayclassz{\ifcase \@lastchclass \@acolampacol \or \@ampacol \or
+ \or \or \@addamp \or
+ \@acolampacol \or \@firstampfalse \@acol \fi
+ \edef\@preamble{\@preamble
+ \ifcase \@chnum
+ \hfil\adl@putlrc{$\relax\@sharp$}\hfil
+ \or \adl@putlrc{$\relax\@sharp$}\hfil
+ \or \hfil\adl@putlrc{$\relax\@sharp$}\fi}}
+\def\@tabclassz{\ifcase \@lastchclass \@acolampacol \or \@ampacol \or
+ \or \or \@addamp \or
+ \@acolampacol \or \@firstampfalse \@acol \fi
+ \edef\@preamble{\@preamble
+ \ifcase \@chnum
+ \hfil\adl@putlrc{\@sharp\unskip}\hfil
+ \or \adl@putlrc{\@sharp\unskip}\hfil
+ \or \hfil\hskip\z@ \adl@putlrc{\@sharp\unskip}\fi}}
+\def\adl@class@start{6}
+\def\adl@class@iiiorvii{3}
+\fi
+\endinput \ No newline at end of file