summaryrefslogtreecommitdiff
path: root/texmf-dist/doc/latex/eqexam/examples/test01.synctex
diff options
context:
space:
mode:
Diffstat (limited to 'texmf-dist/doc/latex/eqexam/examples/test01.synctex')
-rw-r--r--texmf-dist/doc/latex/eqexam/examples/test01.synctex4789
1 files changed, 0 insertions, 4789 deletions
diff --git a/texmf-dist/doc/latex/eqexam/examples/test01.synctex b/texmf-dist/doc/latex/eqexam/examples/test01.synctex
deleted file mode 100644
index 16cc5e11..00000000
--- a/texmf-dist/doc/latex/eqexam/examples/test01.synctex
+++ /dev/null
@@ -1,4789 +0,0 @@
-SyncTeX Version:1
-Input:1:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\test01.tex
-Input:2:C:\Program Files\MiKTeX 2.9\tex\latex\base\article.cls
-Input:3:C:\Program Files\MiKTeX 2.9\tex\latex\base\size12.clo
-Input:4:C:\Program Files\MiKTeX 2.9\tex\latex\amsmath\amsmath.sty
-Input:5:C:\Program Files\MiKTeX 2.9\tex\latex\amsmath\amstext.sty
-Input:6:C:\Program Files\MiKTeX 2.9\tex\latex\amsmath\amsgen.sty
-Input:7:C:\Program Files\MiKTeX 2.9\tex\latex\amsmath\amsbsy.sty
-Input:8:C:\Program Files\MiKTeX 2.9\tex\latex\amsmath\amsopn.sty
-Input:9:C:\Program Files\MiKTeX 2.9\tex\latex\graphics\graphicx.sty
-Input:10:C:\Program Files\MiKTeX 2.9\tex\latex\graphics\keyval.sty
-Input:11:C:\Program Files\MiKTeX 2.9\tex\latex\graphics\graphics.sty
-Input:12:C:\Program Files\MiKTeX 2.9\tex\latex\graphics\trig.sty
-Input:13:C:\Program Files\MiKTeX 2.9\tex\latex\graphics-cfg\graphics.cfg
-Input:14:C:\Program Files\MiKTeX 2.9\tex\latex\graphics-def\dvips.def
-Input:15:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\eqexam.sty
-Input:16:C:\Program Files\MiKTeX 2.9\tex\generic\oberdiek\ifpdf.sty
-Input:17:C:\Program Files\MiKTeX 2.9\tex\generic\ifxetex\ifxetex.sty
-Input:18:C:\Program Files\MiKTeX 2.9\tex\latex\xkeyval\xkeyval.sty
-Input:19:C:\Program Files\MiKTeX 2.9\tex\generic\xkeyval\xkeyval.tex
-Input:20:C:\Program Files\MiKTeX 2.9\tex\generic\xkeyval\xkvutils.tex
-Input:21:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\web.cfg
-Input:22:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\eqecus.opt
-Input:23:C:\Program Files\MiKTeX 2.9\tex\latex\xcolor\xcolor.sty
-Input:24:C:\Program Files\MiKTeX 2.9\tex\latex\graphics-cfg\color.cfg
-Input:25:C:\Program Files\MiKTeX 2.9\tex\latex\amsfonts\amssymb.sty
-Input:26:C:\Program Files\MiKTeX 2.9\tex\latex\amsfonts\amsfonts.sty
-Input:27:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\apb\comment.sty
-Input:28:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\web.sty
-Input:29:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\web.cfg
-Input:30:C:\Program Files\MiKTeX 2.9\tex\latex\tools\calc.sty
-Input:31:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\hyperref.sty
-Input:32:C:\Program Files\MiKTeX 2.9\tex\generic\oberdiek\hobsub-hyperref.sty
-Input:33:C:\Program Files\MiKTeX 2.9\tex\generic\oberdiek\hobsub-generic.sty
-Input:34:C:\Program Files\MiKTeX 2.9\tex\latex\oberdiek\auxhook.sty
-Input:35:C:\Program Files\MiKTeX 2.9\tex\latex\oberdiek\kvoptions.sty
-Input:36:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\pd1enc.def
-Input:37:C:\Program Files\MiKTeX 2.9\tex\latex\00miktex\hyperref.cfg
-Input:38:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\backref.sty
-Input:39:C:\Program Files\MiKTeX 2.9\tex\latex\oberdiek\rerunfilecheck.sty
-Input:40:C:\Program Files\MiKTeX 2.9\tex\latex\url\url.sty
-Input:41:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\hdvips.def
-Input:42:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\pdfmark.def
-Input:43:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\websec.def
-Input:44:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\exerquiz.sty
-Input:45:C:\Program Files\MiKTeX 2.9\tex\latex\tools\array.sty
-Input:46:C:\Program Files\MiKTeX 2.9\tex\latex\tools\verbatim.sty
-Input:47:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\eforms.sty
-Input:48:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\insdljs.sty
-Input:49:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\conv-xkv\conv-xkv.sty
-Input:50:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\taborder.sty
-Input:51:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\pdfdochex.def
-Input:52:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\epdfmark.def
-Input:53:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\acrotex\aebjs.def
-Input:54:C:\Program Files\MiKTeX 2.9\tex\latex\psnfss\pifont.sty
-Input:55:C:\Program Files\MiKTeX 2.9\tex\latex\psnfss\upzd.fd
-Input:56:C:\Program Files\MiKTeX 2.9\tex\latex\psnfss\upsy.fd
-Input:57:C:\Program Files\MiKTeX 2.9\tex\latex\tools\multicol.sty
-Input:58:C:\Program Files\MiKTeX 2.9\tex\latex\amsfonts\umsa.fd
-Input:59:C:\Program Files\MiKTeX 2.9\tex\latex\amsfonts\umsb.fd
-Input:60:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\test01.aux
-Input:61:C:\Program Files\MiKTeX 2.9\tex\latex\hyperref\nameref.sty
-Input:62:C:\Program Files\MiKTeX 2.9\tex\generic\oberdiek\gettitlestring.sty
-Input:63:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\test01.out
-Input:64:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\test01.out
-Output:dvi
-Magnification:1000
-Unit:1
-X Offset:4736287
-Y Offset:4736287
-Content:
-!4701
-{1
-[1,37:0,42626580:30785864,42626580,0
-(1,37:0,0:0,0,0
-)
-[1,37:0,0:0,0,0
-(1,37:0,-2433924:0,0,0
-k1,37:0,-2433924:65781
-)
-]
-[1,37:0,42626580:30785864,42626580,0
-[1,37:0,42626580:30785864,44994723,0
-[1,37:0,-1581711:30785864,786432,0
-(1,37:0,-1581711:30785864,0,0
-h1,37:0,-1581711:30785864,0,0
-)
-]
-[1,37:0,41905684:30785864,42176675,0
-(1,37:0,6000831:30785864,1201930,808713
-(1,37:0,6000831:30785864,1201930,808713
-g1,37:0,6000831
-$1,37:0,6000831
-[1,37:0,6000831:30785864,1201930,808713
-(1,37:0,5446396:30785864,647495,0
-k1,37:7557014,5446396:7557014
-h1,37:7557014,5446396:0,0,0
-x1,37:9822374,5446396
-g1,37:10176268,5446396
-x1,37:17033519,5446396
-g1,37:17387413,5446396
-x1,37:18852140,5446396
-g1,37:19206034,5446396
-x1,37:21630867,5446396
-x1,37:23228851,5446396
-g1,37:23228851,5446396
-k1,37:30785864,5446396:7557013
-)
-(1,37:0,6626044:30785864,655359,183500
-k1,37:6560657,6626044:6560657
-h1,37:6560657,6626044:0,0,0
-x1,37:11812814,6626044
-g1,37:12166708,6626044
-x1,37:13862449,6626044
-g1,37:14216343,6626044
-x1,37:17861778,6626044
-g1,37:18215672,6626044
-x1,37:24225208,6626044
-g1,37:24225208,6626044
-k1,37:30785864,6626044:6560656
-)
-]
-$1,37:30785864,6000831
-g1,37:30785864,6000831
-)
-g1,37:30785864,6000831
-g1,37:30785864,6000831
-)
-(1,37:0,11617292:30785864,524288,131071
-(1,37:0,11617292:30785864,524288,131071
-g1,37:0,11617292
-$1,37:0,11617292
-[1,37:0,11617292:30785864,524288,131071
-(1,37:0,11748363:30785864,655359,0
-k1,37:13046800,11748363:13046800
-h1,37:13046800,11748363:0,0,0
-x1,37:16989768,11748363
-g1,37:17343662,11748363
-x1,37:17739063,11748363
-g1,37:17739063,11748363
-k1,37:30785863,11748363:13046800
-)
-]
-$1,37:30785864,11617292
-g1,37:30785864,11617292
-)
-g1,37:30785864,11617292
-g1,37:30785864,11617292
-)
-(1,37:0,13353996:30785864,520356,127139
-(1,37:0,13353996:30785864,520356,127139
-g1,37:0,13353996
-$1,37:0,13353996
-[1,37:0,13353996:30785864,520356,127139
-(1,37:0,13481135:30785864,647495,0
-k1,37:13967580,13481135:13967580
-h1,37:13967580,13481135:0,0,0
-x1,37:14705951,13481135
-x1,37:15933549,13481135
-g1,37:16287443,13481135
-x1,37:16818284,13481135
-g1,37:16818284,13481135
-k1,37:30785864,13481135:13967580
-)
-]
-$1,37:30785864,13353996
-g1,37:30785864,13353996
-)
-g1,37:30785864,13353996
-g1,37:30785864,13353996
-)
-(1,37:0,41682862:30785864,12984858,222822
-[1,37:0,41682862:13853544,3967018,0
-(1,37:0,38274990:13853544,559146,164453
-h1,37:0,38274990:0,0,0
-(1,37:0,38274990:0,0,0
-r1,37:0,38274990:0,0,0
-)
-$1,37:0,38274990
-[1,37:0,38274990:13853544,537395,157280
-(1,37:0,38274990:13853544,537395,0
-(1,37:0,38274990:13853544,537395,0
-(1,37:0,38274990:13853544,537395,0
-x1,37:2160117,38274990
-k1,37:13853544,38274990:11693427
-)
-)
-)
-]
-$1,37:13853544,38274990
-(1,37:13853544,38274990:0,559146,164453
-(1,37:13853544,38340771:0,624927,98672
-(1,37:13853544,38340771:0,624927,98672
-k1,37:2416797,38340771:-11436747
-(1,37:2416797,38340771:11436747,624927,98672
-(1,37:2416797,38439443:0,0,0
-)
-(1,37:2416797,38340771:11436747,624927,98672
-(1,37:2416797,38439443:11436747,723599,0
-[1,37:2416797,38439443:11436747,723599,0
-(1,37:2416797,37715844:11436747,0,0
-h1,37:2416797,37715844:0,0,0
-k1,37:13853544,37715844:11436747
-g1,37:13853544,37715844
-)
-]
-)
-)
-(1,37:13853544,37715844:0,0,0
-)
-)
-)
-)
-)
-g1,37:13853544,38274990
-g1,37:13853544,38274990
-)
-(1,37:0,39902468:13853544,559146,164453
-[1,37:0,39902468:13853544,537395,157280
-(1,37:0,39902468:13853544,537395,0
-(1,37:0,39902468:13853544,537395,0
-(1,37:0,39902468:13853544,537395,0
-x1,37:1507586,39902468
-k1,37:13853544,39902468:12345958
-)
-)
-)
-]
-$1,37:13853544,39902468
-(1,37:13853544,39902468:0,559146,164453
-(1,37:13853544,39968249:0,624927,98672
-(1,37:13853544,39968249:0,624927,98672
-k1,37:1764266,39968249:-12089278
-(1,37:1764266,39968249:12089278,624927,98672
-(1,37:1764266,40066921:0,0,0
-)
-(1,37:1764266,39968249:12089278,624927,98672
-(1,37:1764266,40066921:12089278,723599,0
-[1,37:1764266,40066921:12089278,723599,0
-(1,37:1764266,39343322:12089278,0,0
-h1,37:1764266,39343322:0,0,0
-k1,37:13853544,39343322:12089278
-g1,37:13853544,39343322
-)
-]
-)
-)
-(1,37:13853544,39343322:0,0,0
-)
-)
-)
-)
-)
-g1,37:13853544,39902468
-g1,37:13853544,39902468
-)
-(1,37:0,41529946:13853544,537395,152916
-x1,37:801989,41529946
-g1,37:1058669,41529946
-x1,37:1582588,41529946
-x1,37:1732318,41529946
-g1,37:1988998,41529946
-x1,37:3807148,41529946
-x1,37:4021048,41529946
-g1,37:4277728,41529946
-x1,37:6459508,41529946
-g1,37:6716188,41529946
-x1,37:8256268,41529946
-k1,37:13853544,41529946:5597276
-g1,37:13853544,41529946
-)
-]
-k1,37:14623585,41682862:770041
-(1,37:14623585,41682862:16162279,12984858,222822
-(1,37:14623585,41682862:16162279,12984858,222822
-(1,37:14623585,41905684:16162279,13207680,0
-[1,37:14623585,41905684:16162279,13207680,0
-(1,37:14623585,41879470:16162279,13155252,0
-[1,37:14649799,41879470:16109851,13155252,0
-(1,37:14649799,41682862:16109851,12762036,0
-[1,37:14846407,41682862:15716635,12762036,0
-(1,37:14846407,29860174:15716635,546132,0
-(1,37:14846407,29860174:0,0,0
-g1,37:14846407,29860174
-g1,37:14846407,29860174
-g1,37:14461387,29860174
-(1,37:14461387,29860174:0,0,0
-)
-g1,37:14846407,29860174
-)
-x1,37:17061433,29860174
-g1,37:17356345,29860174
-x1,37:20108403,29860174
-k1,37:25335723,29860174:5227320
-k1,37:30563042,29860174:5227319
-)
-(1,37:14846407,31596878:15716635,589824,196608
-h1,37:14846407,31596878:0,0,0
-$1,37:14846407,31596878
-[1,37:14846407,31596878:7104430,537395,157280
-(1,37:14846407,31596878:7104430,537395,0
-(1,37:14846407,31596878:7104430,537395,0
-(1,37:14846407,31596878:0,537395,152916
-x1,37:15370326,31596878
-x1,37:16461216,31596878
-g1,37:16717896,31596878
-x1,37:17102916,31596878
-k1,37:14846407,31596878:-2256509
-)
-r1,37:14846407,31596878:0,537395,0
-g1,37:21950837,31596878
-g1,37:21950837,31596878
-)
-)
-]
-$1,37:21950837,31596878
-x1,37:22335857,31596878
-g1,37:22592537,31596878
-$1,37:22592537,31596878
-(1,37:22592537,31596878:770040,506811,0
-x1,37:23362577,31596878
-)
-g1,37:23493647,31596878
-(1,37:23493647,31596878:1030998,483719,152916
-(1,37:23493647,31596878:1030998,483719,152916
-x1,37:24524645,31596878
-)
-)
-$1,37:24524645,31596878
-k1,37:27543844,31596878:3019199
-k1,37:30563042,31596878:3019198
-)
-(1,37:14846407,33333582:15716635,589824,196608
-h1,37:14846407,33333582:0,0,0
-$1,37:14846407,33333582
-[1,37:14846407,33333582:7104430,537395,157280
-(1,37:14846407,33333582:7104430,537395,0
-(1,37:14846407,33333582:7104430,537395,0
-(1,37:14846407,33333582:0,537395,152916
-x1,37:15370326,33333582
-x1,37:16461216,33333582
-g1,37:16717896,33333582
-x1,37:17102916,33333582
-k1,37:14846407,33333582:-2256509
-)
-r1,37:14846407,33333582:0,537395,0
-g1,37:21950837,33333582
-g1,37:21950837,33333582
-)
-)
-]
-$1,37:21950837,33333582
-x1,37:22335857,33333582
-g1,37:22592537,33333582
-$1,37:22592537,33333582
-(1,37:22592537,33333582:770040,506811,0
-x1,37:23362577,33333582
-)
-g1,37:23493647,33333582
-(1,37:23493647,33333582:1030998,483719,152916
-(1,37:23493647,33333582:1030998,483719,152916
-x1,37:24524645,33333582
-)
-)
-$1,37:24524645,33333582
-k1,37:27543844,33333582:3019199
-k1,37:30563042,33333582:3019198
-)
-(1,37:14846407,35070286:15716635,589824,196608
-h1,37:14846407,35070286:0,0,0
-$1,37:14846407,35070286
-[1,37:14846407,35070286:7104430,537395,157280
-(1,37:14846407,35070286:7104430,537395,0
-(1,37:14846407,35070286:7104430,537395,0
-(1,37:14846407,35070286:0,537395,152916
-x1,37:15370326,35070286
-x1,37:16461216,35070286
-g1,37:16717896,35070286
-x1,37:17102916,35070286
-k1,37:14846407,35070286:-2256509
-)
-r1,37:14846407,35070286:0,537395,0
-g1,37:21950837,35070286
-g1,37:21950837,35070286
-)
-)
-]
-$1,37:21950837,35070286
-x1,37:22335857,35070286
-g1,37:22592537,35070286
-$1,37:22592537,35070286
-(1,37:22592537,35070286:770040,506811,0
-x1,37:23362577,35070286
-)
-g1,37:23493647,35070286
-(1,37:23493647,35070286:1030998,483719,152916
-(1,37:23493647,35070286:1030998,483719,152916
-x1,37:24524645,35070286
-)
-)
-$1,37:24524645,35070286
-g1,37:24781325,35070286
-$1,37:24781325,35070286
-x1,37:25850825,35070286
-g1,37:25981895,35070286
-(1,37:25981895,35070286:1030998,483719,152916
-(1,37:25981895,35070286:1030998,483719,152916
-x1,37:27012893,35070286
-)
-)
-g1,37:27187653,35070286
-x1,37:27786573,35070286
-g1,37:27961333,35070286
-x1,37:28731373,35070286
-g1,37:28862443,35070286
-(1,37:28862443,35070286:1030998,483719,152916
-(1,37:28862443,35070286:1030998,483719,152916
-x1,37:29893441,35070286
-)
-)
-x1,37:30192901,35070286
-$1,37:30192901,35070286
-k1,37:30377972,35070286:185071
-k1,37:30563042,35070286:185070
-)
-(1,37:14846407,36806990:15716635,589824,196608
-h1,37:14846407,36806990:0,0,0
-$1,37:14846407,36806990
-[1,37:14846407,36806990:7104430,537395,157280
-(1,37:14846407,36806990:7104430,537395,0
-(1,37:14846407,36806990:7104430,537395,0
-(1,37:14846407,36806990:0,537395,152916
-x1,37:15370326,36806990
-x1,37:16461216,36806990
-g1,37:16717896,36806990
-x1,37:17102916,36806990
-k1,37:14846407,36806990:-2256509
-)
-r1,37:14846407,36806990:0,537395,0
-g1,37:21950837,36806990
-g1,37:21950837,36806990
-)
-)
-]
-$1,37:21950837,36806990
-x1,37:22335857,36806990
-g1,37:22592537,36806990
-$1,37:22592537,36806990
-(1,37:22592537,36806990:770040,506811,0
-x1,37:23362577,36806990
-)
-g1,37:23493647,36806990
-(1,37:23493647,36806990:1030998,483719,152916
-(1,37:23493647,36806990:1030998,483719,152916
-x1,37:24524645,36806990
-)
-)
-$1,37:24524645,36806990
-k1,37:27543844,36806990:3019199
-k1,37:30563042,36806990:3019198
-)
-(1,37:14846407,38543694:15716635,589824,196608
-h1,37:14846407,38543694:0,0,0
-$1,37:14846407,38543694
-[1,37:14846407,38543694:7104430,546132,157280
-(1,37:14846407,38543694:7104430,546132,0
-(1,37:14846407,38543694:7104430,546132,0
-(1,37:14846407,38543694:0,546132,0
-x1,37:15402547,38543694
-x1,37:16835677,38543694
-k1,37:14846407,38543694:-1989270
-)
-g1,37:21950837,38543694
-)
-)
-]
-$1,37:21950837,38543694
-x1,37:22335857,38543694
-g1,37:22592537,38543694
-$1,37:22592537,38543694
-(1,37:22592537,38543694:1155060,506811,0
-x1,37:23747597,38543694
-)
-g1,37:23878667,38543694
-(1,37:23878667,38543694:1030998,483719,152916
-(1,37:23878667,38543694:1030998,483719,152916
-x1,37:24909665,38543694
-)
-)
-$1,37:24909665,38543694
-k1,37:27736354,38543694:2826689
-k1,37:30563042,38543694:2826688
-)
-(1,37:14846407,40280398:15716635,546132,157280
-h1,37:14846407,40280398:0,0,0
-$1,37:14846407,40280398
-[1,37:14846407,40280398:7104430,546132,157280
-(1,37:14846407,40280398:7104430,546132,0
-(1,37:14846407,40280398:7104430,546132,0
-(1,37:14846407,40280398:0,546132,0
-x1,37:17119027,40280398
-k1,37:14846407,40280398:-2272620
-)
-g1,37:21950837,40280398
-)
-)
-]
-$1,37:21950837,40280398
-k1,37:26256940,40280398:4306103
-k1,37:30563042,40280398:4306102
-)
-]
-)
-]
-)
-]
-)
-)
-(1,37:14623585,41682862:16162279,12984858,222822
-[1,37:14623585,41905684:16162279,13207680,0
-(1,37:14623585,41892577:16162279,13181466,0
-r1,37:14649799,41892577:26214,13181466,0
-r1,37:30785864,41892577:26214,13181466,0
-)
-]
-)
-)
-g1,37:30785864,41682862
-g1,37:30785864,41682862
-)
-]
-(1,37:0,42626580:30785864,0,0
-h1,37:0,42626580:30785864,0,0
-)
-]
-]
-]
-!10794
-}1
-!10
-{2
-[1,192:0,42626580:30785864,42626580,0
-[1,192:0,0:0,0,0
-(1,192:0,-2433924:0,0,0
-k1,192:0,-2433924:65781
-)
-]
-[1,192:0,42626580:30785864,42626580,0
-[1,192:0,42626580:30785864,44994723,0
-[1,192:0,-1581711:30785864,786432,0
-(1,192:0,-1581711:30785864,0,0
-(1,192:0,-1581711:30785864,0,0
-k1,192:30785864,-1581711:30785864
-)
-)
-]
-[1,192:0,41905684:30785864,42176675,0
-(1,39:0,1413283:30785864,1684274,285084
-(1,38:0,1413283:0,0,0
-h1,38:0,1413283:0,0,0
-)
-(1,38:0,1413283:30785864,1684274,285084
-g1,38:0,1413283
-[1,38:0,1413283:3978540,1684274,152916
-(1,38:0,394196:3978540,665187,285084
-g1,38:0,394196
-(1,38:0,394196:3978540,665187,285084
-r1,38:0,394196:0,950271,285084
-x1,38:2870538,394196
-g1,38:3127218,394196
-x1,38:3405015,394196
-k1,38:3978540,394196:573525
-)
-g1,38:3978540,394196
-)
-(1,38:0,1413283:3978540,537395,152916
-g1,38:0,1413283
-(1,38:0,1413283:3978540,537395,152916
-x1,38:2181780,1413283
-g1,38:2438460,1413283
-x1,38:3978540,1413283
-g1,38:3978540,1413283
-)
-g1,38:3978540,1413283
-)
-]
-k1,38:11014326,1413283:7035786
-[1,38:11014326,1413283:2079108,1399190,285084
-(1,38:11014326,551488:2079108,537395,0
-g1,38:11014326,551488
-(1,38:11014326,551488:2079108,537395,0
-g1,38:11014326,551488
-x1,38:11570466,551488
-x1,38:12451734,551488
-g1,38:12708414,551488
-x1,38:13093434,551488
-g1,38:13093434,551488
-)
-g1,38:13093434,551488
-)
-(1,38:11014326,1413283:2079108,665187,285084
-g1,38:11014326,1413283
-(1,38:11014326,1413283:2079108,665187,285084
-k1,38:12053880,1413283:1039554
-r1,38:12053880,1413283:0,950271,285084
-k1,38:13093434,1413283:1039554
-)
-g1,38:13093434,1413283
-)
-]
-k1,38:20129219,1413283:7035785
-[1,38:20129219,1413283:10656645,1684274,152916
-(1,38:20129219,394196:10656645,665187,285084
-g1,38:20129219,394196
-(1,38:20129219,394196:10656645,665187,285084
-r1,38:20129219,394196:0,950271,285084
-$1,38:20129219,394196
-[1,38:20129219,394196:10656645,537395,157280
-(1,38:20129219,394196:10656645,537395,0
-(1,38:20129219,394196:10656645,537395,0
-(1,38:20129219,394196:10656645,537395,0
-x1,38:22289336,394196
-k1,38:30785864,394196:8496528
-)
-)
-)
-]
-$1,38:30785864,394196
-(1,38:30785864,394196:0,559146,164453
-(1,38:30785864,459977:0,624927,98672
-(1,38:30785864,459977:0,624927,98672
-k1,38:22546016,459977:-8239848
-(1,38:22546016,459977:8239848,624927,98672
-(1,38:22546016,558649:0,0,0
-)
-(1,38:22546016,459977:8239848,624927,98672
-(1,38:22546016,558649:8239848,723599,0
-[1,38:22546016,558649:8239848,723599,0
-(1,38:22546016,-164950:8239848,0,0
-h1,38:22546016,-164950:0,0,0
-k1,38:30785864,-164950:8239848
-g1,38:30785864,-164950
-)
-]
-)
-)
-(1,38:30785864,-164950:0,0,0
-)
-)
-)
-)
-)
-g1,38:30785864,394196
-)
-g1,38:30785864,394196
-)
-(1,38:20129219,1413283:10656645,537395,152916
-g1,38:20129219,1413283
-(1,38:20129219,1413283:10656645,537395,152916
-x1,38:20931208,1413283
-g1,38:21187888,1413283
-x1,38:21711807,1413283
-x1,38:21861537,1413283
-g1,38:22118217,1413283
-x1,38:23936367,1413283
-k1,38:30785864,1413283:6849497
-)
-g1,38:30785864,1413283
-)
-]
-g1,38:30785864,1413283
-)
-g1,39:30785864,1413283
-g1,39:30785864,1413283
-)
-(1,46:0,2689602:30785864,589824,196608
-k1,43:0,2689602:0
-(1,43:0,2689602:0,0,0
-g1,43:0,2689602
-g1,43:0,2689602
-)
-x1,43:604388,2689602
-x1,43:1715315,2689602
-k1,43:2029809,2689602:314494
-x1,43:2605070,2689602
-k1,43:2919565,2689602:314495
-x1,43:3219025,2689602
-$1,43:3219025,2689602
-(1,43:3219025,2689602:770040,506811,0
-x1,43:3989065,2689602
-)
-k1,43:4120135,2689602:131070
-(1,43:4120135,2689602:2057718,521949,152916
-(1,43:4120135,2689602:2057718,521949,152916
-x1,43:4547935,2689602
-x1,43:5596045,2689602
-x1,43:6177853,2689602
-)
-)
-$1,43:6177853,2689602
-x1,43:6477313,2689602
-k1,43:6791807,2689602:314494
-k1,43:6791807,2689602:0
-k1,43:6791807,2689602:0
-x1,43:8224937,2689602
-k1,44:6791807,2689602:-21390
-x1,44:8545787,2689602
-k1,44:8819511,2689602:273724
-x1,44:9889011,2689602
-x1,44:10295421,2689602
-k1,44:10569145,2689602:273724
-x1,44:11189455,2689602
-k1,44:11463179,2689602:273724
-x1,44:12532679,2689602
-k1,44:12806402,2689602:273723
-x1,44:15848060,2689602
-k1,44:16121784,2689602:273724
-x1,44:18731364,2689602
-k1,44:19005088,2689602:273724
-x1,44:20844628,2689602
-k1,44:21237999,2689602:393371
-x1,44:21751086,2689602
-k1,44:22024810,2689602:273724
-x1,44:22431220,2689602
-x1,44:23222650,2689602
-k1,44:23496374,2689602:273724
-x1,44:24929504,2689602
-x1,44:25250354,2689602
-k1,44:25524078,2689602:273724
-x1,44:26336898,2689602
-k1,44:26610621,2689602:273723
-x1,44:28450161,2689602
-k1,44:28728146,2689602:277985
-x1,44:29155946,2689602
-k1,45:28728146,2689602:21390
-x1,45:30204056,2689602
-x1,45:30785864,2689602
-k1,45:30785864,2689602:0
-)
-(1,46:0,3639874:30785864,546132,152916
-x1,46:1197840,3639874
-g1,45:1454520,3639874
-x1,45:1882320,3639874
-x1,45:2245950,3639874
-g1,45:2502630,3639874
-x1,45:6057648,3639874
-g1,45:6314328,3639874
-x1,45:7875798,3639874
-g1,45:8132478,3639874
-x1,45:8538888,3639874
-x1,45:9629778,3639874
-g1,45:9886458,3639874
-x1,45:11469318,3639874
-g1,45:11725998,3639874
-x1,45:13612596,3639874
-k1,46:30785864,3639874:17173268
-g1,46:30785864,3639874
-)
-(1,51:1425408,4786754:29360456,589824,196608
-(1,48:1425408,4786754:0,0,0
-g1,48:1425408,4786754
-g1,48:0,4786754
-g1,48:-256680,4786754
-(1,48:-256680,4786754:1425408,0,0
-k1,48:1168728,4786754:1425408
-)
-g1,48:1425408,4786754
-)
-k1,49:1425408,4786754:0
-(1,49:1425408,4786754:0,589824,196608
-k1,49:-2436856,4786754:-3862264
-x1,49:-2137396,4786754
-$1,49:-2137396,4786754
-x1,49:-1778586,4786754
-(1,49:-1778586,4526336:778615,322479,101945
-x1,49:-1032739,4526336
-)
-$1,49:-999971,4786754
-x1,49:-720896,4786754
-r1,49:-720896,4786754:0,786432,196608
-g1,49:1425408,4786754
-g1,49:1425408,4786754
-)
-(1,49:1425408,4786754:0,506811,0
-k1,49:442368,4786754:-983040
-(1,49:442368,4786754:983040,506811,0
-x1,49:1130496,4786754
-g1,49:1425408,4786754
-)
-)
-x1,49:2926986,4786754
-k1,49:3218767,4786754:291781
-x1,49:3736405,4786754
-k1,49:4028186,4786754:291781
-x1,49:4841006,4786754
-k1,49:5132787,4786754:291781
-x1,49:7892097,4786754
-k1,49:8183878,4786754:291781
-x1,49:8804188,4786754
-k1,49:9095970,4786754:291782
-x1,49:9480990,4786754
-k1,49:9772771,4786754:291781
-x1,49:10585591,4786754
-x1,49:12467911,4786754
-x1,49:12788761,4786754
-k1,49:13080542,4786754:291781
-x1,49:16100810,4786754
-k1,49:16401366,4786754:300556
-x1,49:17470866,4786754
-k1,49:17762647,4786754:291781
-x1,49:19991485,4786754
-x1,49:20269555,4786754
-k1,49:20561336,4786754:291781
-x1,49:21720674,4786754
-k1,49:22012456,4786754:291782
-x1,49:22654156,4786754
-k1,49:22945937,4786754:291781
-x1,49:25345895,4786754
-k1,50:25637676,4786754:291781
-x1,50:27010914,4786754
-x1,50:28491102,4786754
-k1,50:28782883,4786754:291781
-x1,50:29424583,4786754
-k1,50:29716364,4786754:291781
-x1,50:30785864,4786754
-k1,50:30785864,4786754:0
-)
-(1,51:1425408,5737026:29360456,546132,152916
-x1,51:3226446,5737026
-g1,50:3483126,5737026
-x1,50:3910926,5737026
-x1,50:4873476,5737026
-x1,50:5622126,5737026
-k1,51:30785864,5737026:25163738
-g1,51:30785864,5737026
-)
-(1,59:1425408,7402677:29360456,1447199,920944
-(1,52:1425408,7402677:29360456,1447199,920944
-(1,52:1425408,8323621:0,0,0
-)
-(1,52:1425408,7402677:29360456,1447199,920944
-(1,52:1425408,8323621:29360456,2368143,0
-[1,52:1425408,8323621:29360456,2368143,0
-(1,52:1425408,5955478:29360456,0,0
-h1,52:1425408,5955478:0,0,0
-k1,52:30785864,5955478:29360456
-g1,52:30785864,5955478
-)
-]
-)
-)
-(1,52:30785864,5955478:0,0,0
-)
-)
-g1,59:30785864,7402677
-g1,59:30785864,7402677
-)
-(1,67:1425408,9447560:29360456,665187,213887
-(1,62:1425408,9447560:0,0,0
-g1,62:1425408,9447560
-g1,62:0,9447560
-g1,62:-256680,9447560
-(1,62:-256680,9447560:1425408,0,0
-k1,62:1168728,9447560:1425408
-)
-g1,62:1425408,9447560
-)
-k1,63:1425408,9447560:0
-(1,63:1425408,9447560:0,589824,196608
-k1,63:-2436856,9447560:-3862264
-x1,63:-2137396,9447560
-$1,63:-2137396,9447560
-x1,63:-1778586,9447560
-(1,63:-1778586,9187142:778615,322479,101945
-x1,63:-1032739,9187142
-)
-$1,63:-999971,9447560
-x1,63:-720896,9447560
-r1,63:-720896,9447560:0,786432,196608
-g1,63:1425408,9447560
-g1,63:1425408,9447560
-)
-(1,63:1425408,9447560:0,506811,0
-k1,63:442368,9447560:-983040
-(1,63:442368,9447560:983040,506811,0
-x1,63:1130496,9447560
-g1,63:1425408,9447560
-)
-)
-x1,63:2430465,9447560
-k1,63:2662162,9447560:231697
-x1,63:5421472,9447560
-k1,63:5653169,9447560:231697
-x1,63:6273479,9447560
-k1,63:6505176,9447560:231697
-x1,63:6890196,9447560
-k1,63:7121893,9447560:231697
-x1,63:8234173,9447560
-x1,63:8875873,9447560
-k1,63:9107571,9447560:231698
-x1,63:12127839,9447560
-k1,63:12457587,9447560:329748
-x1,63:13034844,9447560
-k1,64:13266541,9447560:231697
-x1,64:13784179,9447560
-k1,64:14015876,9447560:231697
-x1,64:14572016,9447560
-x1,64:15320666,9447560
-k1,64:15552364,9447560:231698
-x1,64:16771594,9447560
-x1,64:17734144,9447560
-k1,64:17965841,9447560:231697
-x1,64:19377581,9447560
-k1,64:19609278,9447560:231697
-(1,64:19609278,9447560:4736286,665187,157280
-$1,64:19609278,9447560
-[1,64:19609278,9447560:4736286,665187,157280
-(1,64:19609278,9447560:4736286,665187,0
-(1,64:19609278,9447560:4736286,665187,0
-(1,64:19609278,9447560:4736286,665187,285084
-k1,64:21188040,9447560:1578762
-r1,64:21188040,9447560:0,950271,285084
-k1,64:22766802,9447560:1578762
-k1,64:24345564,9447560:1578762
-)
-)
-)
-]
-$1,64:24345564,9447560
-)
-(1,64:24345564,9447560:0,608580,213887
-(1,64:24345564,9513341:0,674361,148106
-(1,64:24345564,9513341:0,674361,148106
-k1,64:19609278,9513341:-4736286
-(1,64:19609278,9513341:4736286,674361,148106
-(1,64:19609278,9661447:0,0,0
-)
-(1,64:19609278,9513341:4736286,674361,148106
-(1,64:19609278,9661447:4736286,822467,0
-[1,64:19609278,9661447:4736286,822467,0
-(1,64:19609278,8838980:4736286,0,0
-h1,64:19609278,8838980:0,0,0
-k1,64:24345564,8838980:4736286
-g1,64:24345564,8838980
-)
-]
-)
-)
-(1,64:24345564,8838980:0,0,0
-)
-)
-)
-)
-)
-k1,64:24577261,9447560:231697
-x1,64:25817881,9447560
-k1,64:26049578,9447560:231697
-(1,65:26049578,9447560:4736286,665187,157280
-$1,65:26049578,9447560
-[1,65:26049578,9447560:4736286,665187,157280
-(1,65:26049578,9447560:4736286,665187,0
-(1,65:26049578,9447560:4736286,665187,0
-(1,65:26049578,9447560:4736286,665187,285084
-k1,65:27628340,9447560:1578762
-r1,65:27628340,9447560:0,950271,285084
-k1,65:29207102,9447560:1578762
-k1,65:30785864,9447560:1578762
-)
-)
-)
-]
-$1,65:30785864,9447560
-)
-(1,65:30785864,9447560:0,608580,213887
-(1,65:30785864,9513341:0,674361,148106
-(1,65:30785864,9513341:0,674361,148106
-k1,65:26049578,9513341:-4736286
-(1,65:26049578,9513341:4736286,674361,148106
-(1,65:26049578,9661447:0,0,0
-)
-(1,65:26049578,9513341:4736286,674361,148106
-(1,65:26049578,9661447:4736286,822467,0
-[1,65:26049578,9661447:4736286,822467,0
-(1,65:26049578,8838980:4736286,0,0
-h1,65:26049578,8838980:0,0,0
-k1,65:30785864,8838980:4736286
-g1,65:30785864,8838980
-)
-]
-)
-)
-(1,65:30785864,8838980:0,0,0
-)
-)
-)
-)
-)
-k1,65:30785864,9447560:0
-)
-(1,67:1425408,10397832:29360456,546132,152916
-x1,67:2452128,10397832
-g1,65:2708808,10397832
-x1,65:3970818,10397832
-x1,65:4869198,10397832
-g1,65:5125878,10397832
-x1,65:7821018,10397832
-g1,65:8077698,10397832
-x1,65:8766456,10397832
-g1,65:9023136,10397832
-x1,65:10092636,10397832
-g1,65:10349316,10397832
-x1,65:13198464,10397832
-g1,65:13455144,10397832
-x1,65:14075454,10397832
-g1,65:14332134,10397832
-x1,65:15358854,10397832
-k1,66:14332134,10397832:21390
-x1,66:16877544,10397832
-g1,66:17134224,10397832
-x1,66:20004762,10397832
-k1,67:30785864,10397832:10781102
-g1,67:30785864,10397832
-)
-(1,81:1425408,11741320:29360456,671603,196608
-(1,80:1425408,11741320:0,0,0
-g1,80:1425408,11741320
-g1,80:0,11741320
-g1,80:-256680,11741320
-(1,80:-256680,11741320:1425408,0,0
-k1,80:1168728,11741320:1425408
-)
-g1,80:1425408,11741320
-)
-g1,80:1425408,11741320
-(1,80:1425408,11741320:0,671603,196608
-k1,80:-2436856,11741320:-3862264
-x1,80:-2137396,11741320
-$1,80:-2137396,11741320
-x1,80:-1778586,11741320
-[1,80:-1778586,11834692:778615,764975,0
-(1,80:-1778586,11392196:778615,322479,101945
-x1,80:-1032739,11392196
-)
-(1,80:-1778586,11834692:713624,225735,0
-x1,80:-1097730,11834692
-)
-]
-$1,80:-999971,11741320
-x1,80:-720896,11741320
-r1,80:-720896,11741320:0,868211,196608
-g1,80:1425408,11741320
-g1,80:1425408,11741320
-)
-(1,80:1425408,11741320:0,506811,0
-k1,80:442368,11741320:-983040
-(1,80:442368,11741320:983040,506811,0
-x1,80:1130496,11741320
-g1,80:1425408,11741320
-)
-)
-x1,80:1975916,11741320
-x1,80:3008119,11741320
-g1,80:3323599,11741320
-x1,80:4008079,11741320
-g1,80:4264759,11741320
-x1,80:4766842,11741320
-x1,80:6180063,11741320
-g1,80:6522303,11741320
-x1,80:7484580,11741320
-g1,80:7741260,11741320
-x1,80:9221448,11741320
-x1,80:11702688,11741320
-g1,80:11959368,11741320
-x1,80:14483388,11741320
-k1,81:30785864,11741320:16302476
-g1,81:30785864,11741320
-)
-(1,90:4931568,12876048:25854296,665187,213887
-(1,87:4931568,12876048:0,589824,196608
-g1,87:4931568,12876048
-g1,87:3904848,12876048
-g1,87:1425408,12876048
-(1,87:1425408,12876048:1026720,589824,196608
-g1,87:1425408,12876048
-(1,87:1425408,12876048:1026720,589824,196608
-k1,87:1439668,12876048:14260
-x1,87:1739128,12876048
-k1,87:1753388,12876048:14260
-x1,87:2138408,12876048
-k1,87:2152668,12876048:14260
-x1,87:2452128,12876048
-)
-)
-g1,87:4931568,12876048
-)
-(1,87:4931568,12876048:0,665187,213887
-k1,87:2708808,12876048:-2222760
-(1,87:2708808,12876048:1966080,665187,157280
-$1,87:2708808,12876048
-[1,87:2708808,12876048:1966080,665187,157280
-(1,87:2708808,12876048:1966080,665187,0
-(1,87:2708808,12876048:1966080,665187,0
-(1,87:2708808,12876048:1966080,665187,285084
-k1,87:3364168,12876048:655360
-r1,87:3364168,12876048:0,950271,285084
-k1,87:4019528,12876048:655360
-k1,87:4674888,12876048:655360
-)
-)
-)
-]
-$1,87:4674888,12876048
-)
-(1,87:4674888,12876048:0,608580,213887
-(1,87:4674888,12941829:0,674361,148106
-(1,87:4674888,12941829:0,674361,148106
-k1,87:2708808,12941829:-1966080
-(1,87:2708808,12941829:1966080,674361,148106
-(1,87:2708808,13089935:0,0,0
-)
-(1,87:2708808,12941829:1966080,674361,148106
-(1,87:2708808,13089935:1966080,822467,0
-[1,87:2708808,13089935:1966080,822467,0
-(1,87:2708808,12267468:1966080,0,0
-h1,87:2708808,12267468:0,0,0
-k1,87:4674888,12267468:1966080
-g1,87:4674888,12267468
-)
-]
-)
-)
-(1,87:4674888,12267468:0,0,0
-)
-)
-)
-)
-)
-g1,87:4931568,12876048
-)
-x1,87:5444655,12876048
-k1,87:5717126,12876048:272471
-x1,87:8587664,12876048
-k1,87:8860136,12876048:272472
-x1,87:9672956,12876048
-x1,87:10057976,12876048
-x1,87:10378826,12876048
-k1,87:10651297,12876048:272471
-$1,87:10651297,12876048
-x1,87:11036317,12876048
-$1,87:11036317,12876048
-k1,87:11308789,12876048:272472
-x1,87:13114105,12876048
-k1,87:13390524,12876048:276419
-x1,87:14887824,12876048
-k1,87:15160295,12876048:272471
-x1,87:15973115,12876048
-k1,87:16245587,12876048:272472
-x1,87:18106517,12876048
-x1,87:19137515,12876048
-k1,87:19409986,12876048:272471
-x1,87:20436706,12876048
-k1,88:20709178,12876048:272472
-x1,88:22719838,12876048
-k1,88:23109452,12876048:389614
-x1,88:24071729,12876048
-x1,88:24606479,12876048
-k1,88:24878950,12876048:272471
-x1,88:25396588,12876048
-k1,88:25669060,12876048:272472
-x1,88:26738560,12876048
-k1,88:27011031,12876048:272471
-x1,88:28508331,12876048
-k1,88:28780803,12876048:272472
-x1,88:29700573,12876048
-k1,88:29973044,12876048:272471
-x1,88:30785864,12876048
-k1,88:30785864,12876048:0
-)
-(1,90:4931568,13826320:25854296,546132,152916
-x1,90:5701608,13826320
-x1,88:6108018,13826320
-x1,88:6557208,13826320
-g1,88:6813888,13826320
-x1,88:8225628,13826320
-g1,88:8482308,13826320
-x1,88:9166788,13826320
-g1,88:9423468,13826320
-x1,88:11134668,13826320
-g1,88:11391348,13826320
-x1,88:12075828,13826320
-g1,88:12332508,13826320
-x1,88:13402008,13826320
-g1,88:13658688,13826320
-x1,88:14685408,13826320
-g1,88:14942088,13826320
-x1,88:15562398,13826320
-g1,89:15819078,13826320
-x1,89:17401938,13826320
-g1,89:17658618,13826320
-x1,89:19241478,13826320
-x1,89:20225418,13826320
-x1,89:20375148,13826320
-k1,90:30785864,13826320:10410716
-g1,90:30785864,13826320
-)
-(1,107:4931568,14973200:25854296,713465,213887
-(1,104:4931568,14973200:0,589824,196608
-g1,104:4931568,14973200
-g1,104:3904848,14973200
-g1,104:1425408,14973200
-(1,104:1425408,14973200:1026720,589824,196608
-g1,104:1425408,14973200
-(1,104:1425408,14973200:1026720,589824,196608
-g1,104:1425408,14973200
-x1,104:1724868,14973200
-g1,104:1724868,14973200
-x1,104:2152668,14973200
-g1,104:2152668,14973200
-x1,104:2452128,14973200
-)
-)
-g1,104:4931568,14973200
-)
-(1,104:4931568,14973200:0,665187,213887
-k1,104:2708808,14973200:-2222760
-(1,104:2708808,14973200:1966080,665187,157280
-$1,104:2708808,14973200
-[1,104:2708808,14973200:1966080,665187,157280
-(1,104:2708808,14973200:1966080,665187,0
-(1,104:2708808,14973200:1966080,665187,0
-(1,104:2708808,14973200:1966080,665187,285084
-k1,104:3364168,14973200:655360
-r1,104:3364168,14973200:0,950271,285084
-k1,104:4019528,14973200:655360
-k1,104:4674888,14973200:655360
-)
-)
-)
-]
-$1,104:4674888,14973200
-)
-(1,104:4674888,14973200:0,608580,213887
-(1,104:4674888,15038981:0,674361,148106
-(1,104:4674888,15038981:0,674361,148106
-k1,104:2708808,15038981:-1966080
-(1,104:2708808,15038981:1966080,674361,148106
-(1,104:2708808,15187087:0,0,0
-)
-(1,104:2708808,15038981:1966080,674361,148106
-(1,104:2708808,15187087:1966080,822467,0
-[1,104:2708808,15187087:1966080,822467,0
-(1,104:2708808,14364620:1966080,0,0
-h1,104:2708808,14364620:0,0,0
-k1,104:4674888,14364620:1966080
-g1,104:4674888,14364620
-)
-]
-)
-)
-(1,104:4674888,14364620:0,0,0
-)
-)
-)
-)
-)
-g1,104:4931568,14973200
-)
-$1,104:4931568,14973200
-x1,104:5316588,14973200
-k1,104:5515380,14973200:198792
-x1,104:6114300,14973200
-k1,104:6313092,14973200:198792
-x1,104:6698112,14973200
-k1,104:6976643,14973200:278531
-x1,104:7575563,14973200
-k1,104:7854093,14973200:278530
-x1,104:8239113,14973200
-$1,104:8239113,14973200
-k1,104:8531091,14973200:291978
-x1,104:8744991,14973200
-x1,104:9194181,14973200
-k1,104:9486158,14973200:291977
-$1,104:9486158,14973200
-(1,104:9486158,14973200:1040382,713465,104422
-(1,104:9486158,14322647:655362,31456,754975
-x1,104:10141520,14322647
-)
-[1,104:10141520,14973200:385020,713465,0
-(1,104:10141520,14973200:385020,506811,0
-x1,104:10526540,14973200
-)
-]
-)
-$1,104:10526540,14973200
-k1,104:10818517,14973200:291977
-x1,104:11336155,14973200
-k1,104:11628133,14973200:291978
-x1,104:12013153,14973200
-k1,104:12305130,14973200:291977
-x1,104:14914710,14973200
-k1,104:15206688,14973200:291978
-x1,104:15634488,14973200
-x1,104:16682598,14973200
-x1,104:17089008,14973200
-x1,104:17965998,14973200
-k1,104:18414130,14973200:448132
-x1,104:19376407,14973200
-x1,104:19911157,14973200
-k1,104:20203134,14973200:291977
-x1,104:20720772,14973200
-k1,105:21012750,14973200:291978
-x1,105:22082250,14973200
-k1,105:22374227,14973200:291977
-x1,105:23871527,14973200
-k1,105:24163504,14973200:291977
-x1,105:25083274,14973200
-k1,105:25375252,14973200:291978
-x1,105:26188072,14973200
-k1,105:26480049,14973200:291977
-x1,105:27250089,14973200
-x1,105:27656499,14973200
-x1,105:28105689,14973200
-k1,105:28397667,14973200:291978
-x1,105:29809407,14973200
-k1,105:30101384,14973200:291977
-x1,105:30785864,14973200
-k1,105:30785864,14973200:0
-)
-(1,107:4931568,15923472:25854296,546132,152916
-x1,107:6642768,15923472
-g1,105:6899448,15923472
-x1,105:7583928,15923472
-g1,105:7840608,15923472
-x1,105:8910108,15923472
-g1,105:9166788,15923472
-x1,105:10193508,15923472
-g1,105:10450188,15923472
-x1,105:11070498,15923472
-g1,105:11327178,15923472
-x1,105:12910038,15923472
-g1,105:13166718,15923472
-x1,105:14749578,15923472
-k1,106:13166718,15923472:-21390
-x1,106:15733518,15923472
-x1,106:15883248,15923472
-k1,107:30785864,15923472:14902616
-g1,107:30785864,15923472
-)
-(1,122:4931568,17070352:25854296,665187,213887
-(1,116:4931568,17070352:0,589824,196608
-g1,116:4931568,17070352
-g1,116:3904848,17070352
-g1,116:1425408,17070352
-(1,116:1425408,17070352:1026720,589824,196608
-g1,116:1425408,17070352
-(1,116:1425408,17070352:1026720,589824,196608
-k1,116:1453928,17070352:28520
-x1,116:1753388,17070352
-k1,116:1781908,17070352:28520
-x1,116:2124148,17070352
-k1,116:2152668,17070352:28520
-x1,116:2452128,17070352
-)
-)
-g1,116:4931568,17070352
-)
-(1,116:4931568,17070352:0,665187,213887
-k1,116:2708808,17070352:-2222760
-(1,116:2708808,17070352:1966080,665187,157280
-$1,116:2708808,17070352
-[1,116:2708808,17070352:1966080,665187,157280
-(1,116:2708808,17070352:1966080,665187,0
-(1,116:2708808,17070352:1966080,665187,0
-(1,116:2708808,17070352:1966080,665187,285084
-k1,116:3364168,17070352:655360
-r1,116:3364168,17070352:0,950271,285084
-k1,116:4019528,17070352:655360
-k1,116:4674888,17070352:655360
-)
-)
-)
-]
-$1,116:4674888,17070352
-)
-(1,116:4674888,17070352:0,608580,213887
-(1,116:4674888,17136133:0,674361,148106
-(1,116:4674888,17136133:0,674361,148106
-k1,116:2708808,17136133:-1966080
-(1,116:2708808,17136133:1966080,674361,148106
-(1,116:2708808,17284239:0,0,0
-)
-(1,116:2708808,17136133:1966080,674361,148106
-(1,116:2708808,17284239:1966080,822467,0
-[1,116:2708808,17284239:1966080,822467,0
-(1,116:2708808,16461772:1966080,0,0
-h1,116:2708808,16461772:0,0,0
-k1,116:4674888,16461772:1966080
-g1,116:4674888,16461772
-)
-]
-)
-)
-(1,116:4674888,16461772:0,0,0
-)
-)
-)
-)
-)
-g1,116:4931568,17070352
-)
-$1,116:4931568,17070352
-x1,116:7516812,17070352
-x1,116:8956997,17070352
-k1,116:9218215,17070352:233001
-x1,116:9817135,17070352
-k1,116:10050136,17070352:233001
-x1,116:10734616,17070352
-$1,116:10734616,17070352
-k1,116:11504656,17070352:770040
-x1,116:11804116,17070352
-$1,116:11804116,17070352
-x1,116:12241702,17070352
-$1,116:12241702,17070352
-x1,116:12455602,17070352
-k1,116:12722968,17070352:267366
-$1,117:12722968,17070352
-x1,117:13098430,17070352
-$1,117:13126647,17070352
-k1,117:13391876,17070352:265229
-x1,117:14632496,17070352
-k1,117:14897725,17070352:265229
-x1,117:15325525,17070352
-x1,117:16373635,17070352
-x1,117:16780045,17070352
-x1,117:18260233,17070352
-k1,117:18628119,17070352:367886
-x1,117:19590396,17070352
-x1,117:20125146,17070352
-k1,117:20390375,17070352:265229
-x1,117:20908013,17070352
-k1,117:21173241,17070352:265228
-x1,117:22242741,17070352
-k1,117:22507970,17070352:265229
-x1,117:24005270,17070352
-k1,117:24270499,17070352:265229
-x1,117:25190269,17070352
-k1,117:25455498,17070352:265229
-x1,117:26268318,17070352
-k1,117:26533546,17070352:265228
-x1,117:27303586,17070352
-x1,117:27709996,17070352
-x1,117:28159186,17070352
-k1,117:28424415,17070352:265229
-x1,117:29836155,17070352
-k1,117:30101384,17070352:265229
-x1,117:30785864,17070352
-k1,117:30785864,17070352:0
-)
-(1,122:4931568,18020624:25854296,546132,152916
-x1,122:6642768,18020624
-g1,117:6899448,18020624
-x1,117:7583928,18020624
-g1,117:7840608,18020624
-x1,117:8910108,18020624
-g1,118:9166788,18020624
-x1,118:10193508,18020624
-g1,118:10450188,18020624
-x1,118:11070498,18020624
-g1,118:11327178,18020624
-x1,118:12910038,18020624
-g1,118:13166718,18020624
-x1,118:14749578,18020624
-x1,118:15733518,18020624
-x1,118:15883248,18020624
-k1,122:30785864,18020624:14902616
-g1,122:30785864,18020624
-)
-(1,128:4931568,19167504:25854296,665187,213887
-(1,123:4931568,19167504:0,589824,196608
-g1,123:4931568,19167504
-g1,123:3904848,19167504
-g1,123:1425408,19167504
-(1,123:1425408,19167504:1026720,589824,196608
-g1,123:1425408,19167504
-(1,123:1425408,19167504:1026720,589824,196608
-g1,123:1425408,19167504
-x1,123:1724868,19167504
-g1,123:1724868,19167504
-x1,123:2152668,19167504
-g1,123:2152668,19167504
-x1,123:2452128,19167504
-)
-)
-g1,123:4931568,19167504
-)
-(1,123:4931568,19167504:0,665187,213887
-k1,123:2708808,19167504:-2222760
-(1,123:2708808,19167504:1966080,665187,157280
-$1,123:2708808,19167504
-[1,123:2708808,19167504:1966080,665187,157280
-(1,123:2708808,19167504:1966080,665187,0
-(1,123:2708808,19167504:1966080,665187,0
-(1,123:2708808,19167504:1966080,665187,285084
-k1,123:3364168,19167504:655360
-r1,123:3364168,19167504:0,950271,285084
-k1,123:4019528,19167504:655360
-k1,123:4674888,19167504:655360
-)
-)
-)
-]
-$1,123:4674888,19167504
-)
-(1,123:4674888,19167504:0,608580,213887
-(1,123:4674888,19233285:0,674361,148106
-(1,123:4674888,19233285:0,674361,148106
-k1,123:2708808,19233285:-1966080
-(1,123:2708808,19233285:1966080,674361,148106
-(1,123:2708808,19381391:0,0,0
-)
-(1,123:2708808,19233285:1966080,674361,148106
-(1,123:2708808,19381391:1966080,822467,0
-[1,123:2708808,19381391:1966080,822467,0
-(1,123:2708808,18558924:1966080,0,0
-h1,123:2708808,18558924:0,0,0
-k1,123:4674888,18558924:1966080
-g1,123:4674888,18558924
-)
-]
-)
-)
-(1,123:4674888,18558924:0,0,0
-)
-)
-)
-)
-)
-g1,123:4931568,19167504
-)
-$1,123:4931568,19167504
-x1,123:7516812,19167504
-x1,123:8938571,19167504
-x1,123:9930248,19167504
-x1,123:10702337,19167504
-g1,123:10877097,19167504
-x1,123:11476017,19167504
-g1,123:11650777,19167504
-x1,123:12026239,19167504
-x1,123:12353916,19167504
-g1,123:12572366,19167504
-x1,123:13171286,19167504
-g1,123:13389736,19167504
-x1,123:14074216,19167504
-$1,123:14074216,19167504
-x1,123:14288116,19167504
-g1,123:14544796,19167504
-g1,124:15314836,19167504
-x1,124:15614296,19167504
-$1,124:15614296,19167504
-x1,124:16051882,19167504
-$1,124:16051882,19167504
-x1,124:16265782,19167504
-g1,124:16522462,19167504
-$1,124:16522462,19167504
-x1,124:16897924,19167504
-$1,124:16926141,19167504
-x1,124:17140041,19167504
-g1,124:17396721,19167504
-x1,124:18637341,19167504
-g1,124:18894021,19167504
-$1,124:18894021,19167504
-x1,124:19251735,19167504
-$1,124:19286778,19167504
-g1,124:19543458,19167504
-x1,124:20784078,19167504
-g1,124:21040758,19167504
-x1,124:21468558,19167504
-x1,124:22516668,19167504
-x1,124:22923078,19167504
-x1,124:24403266,19167504
-k1,128:30785864,19167504:6382598
-g1,128:30785864,19167504
-)
-(1,137:1425408,20683296:29360456,589824,196608
-(1,133:1425408,20683296:0,0,0
-g1,133:1425408,20683296
-g1,133:0,20683296
-g1,133:-256680,20683296
-(1,133:-256680,20683296:1425408,0,0
-k1,133:1168728,20683296:1425408
-)
-g1,133:1425408,20683296
-)
-k1,133:1425408,20683296:0
-(1,133:1425408,20683296:0,589824,196608
-k1,133:-2795666,20683296:-4221074
-x1,133:-2496206,20683296
-$1,133:-2496206,20683296
-(1,133:-2496206,20683296:717620,462465,0
-x1,133:-1778586,20683296
-)
-(1,133:-1778586,20422878:778615,322479,101945
-x1,133:-1032739,20422878
-)
-$1,133:-999971,20683296
-x1,133:-720896,20683296
-r1,133:-720896,20683296:0,786432,196608
-g1,133:1425408,20683296
-g1,133:1425408,20683296
-)
-(1,133:1425408,20683296:0,506811,0
-k1,133:442368,20683296:-983040
-(1,133:442368,20683296:983040,506811,0
-x1,133:1130496,20683296
-g1,133:1425408,20683296
-)
-)
-x1,133:2986605,20683296
-k1,133:3157964,20683296:171359
-x1,133:3675602,20683296
-k1,133:3846960,20683296:171358
-x1,133:4659780,20683296
-k1,133:4831139,20683296:171359
-x1,133:7590449,20683296
-k1,133:7761807,20683296:171358
-x1,133:8382117,20683296
-k1,133:8553476,20683296:171359
-x1,133:8938496,20683296
-k1,133:9109854,20683296:171358
-x1,133:10607154,20683296
-k1,133:10778513,20683296:171359
-x1,133:13730333,20683296
-k1,133:13901692,20683296:171359
-x1,133:16853512,20683296
-k1,133:17167311,20683296:313799
-x1,133:17744568,20683296
-k1,133:17915927,20683296:171359
-x1,133:19006817,20683296
-x1,133:19631405,20683296
-k1,133:19802763,20683296:171358
-x1,133:20872263,20683296
-k1,133:21043622,20683296:171359
-x1,133:23781542,20683296
-k1,134:23952900,20683296:171358
-x1,134:26861940,20683296
-x1,134:27140010,20683296
-k1,134:27311369,20683296:171359
-x1,134:27525269,20683296
-x1,134:30358064,20683296
-x1,134:30785864,20683296
-k1,134:30785864,20683296:0
-)
-(1,137:1425408,21633568:29360456,546132,152916
-x1,137:2002665,21633568
-x1,134:2751315,21633568
-g1,134:3007995,21633568
-x1,134:3739533,21633568
-x1,134:5301003,21633568
-g1,134:5557683,21633568
-x1,134:6627183,21633568
-g1,134:6883863,21633568
-x1,134:7311663,21633568
-k1,135:6883863,21633568:21390
-x1,135:8359773,21633568
-x1,135:8941581,21633568
-g1,135:9198261,21633568
-x1,135:10575777,21633568
-x1,135:12607827,21633568
-g1,135:12864507,21633568
-x1,135:14361807,21633568
-g1,135:14618487,21633568
-x1,135:15687987,21633568
-x1,135:16094397,21633568
-g1,135:16351077,21633568
-x1,135:17762817,21633568
-g1,135:18019497,21633568
-x1,135:19777755,21633568
-g1,135:20034435,21633568
-x1,135:21103935,21633568
-g1,135:21360615,21633568
-x1,135:22979355,21633568
-g1,135:23236035,21633568
-x1,135:26701215,21633568
-k1,137:30785864,21633568:4084649
-g1,137:30785864,21633568
-)
-(1,141:2708808,22768297:28077056,589824,196608
-(1,140:2708808,22768297:0,589824,196608
-g1,140:2708808,22768297
-g1,140:1682088,22768297
-g1,140:1425408,22768297
-(1,140:1425408,22768297:1026720,589824,196608
-g1,140:1425408,22768297
-(1,140:1425408,22768297:1026720,589824,196608
-k1,140:1439668,22768297:14260
-x1,140:1739128,22768297
-k1,140:1753388,22768297:14260
-x1,140:2138408,22768297
-k1,140:2152668,22768297:14260
-x1,140:2452128,22768297
-)
-)
-g1,140:2708808,22768297
-)
-x1,140:3008268,22768297
-$1,140:3008268,22768297
-(1,140:3008268,22768297:770040,506811,0
-x1,140:3778308,22768297
-)
-g1,140:3909378,22768297
-(1,140:3909378,22768297:1030998,483719,152916
-(1,140:3909378,22768297:1030998,483719,152916
-x1,140:4940376,22768297
-)
-)
-$1,140:4940376,22768297
-x1,140:5239836,22768297
-g1,140:5496516,22768297
-x1,140:6998094,22768297
-g1,140:7254774,22768297
-x1,140:7639794,22768297
-g1,140:7896474,22768297
-x1,140:9436554,22768297
-g1,140:9693234,22768297
-x1,140:11062194,22768297
-k1,141:30785864,22768297:19723670
-g1,141:30785864,22768297
-)
-(1,145:2708808,25069676:28077056,2039235,1512980
-(1,142:2708808,25069676:28077056,2039235,1512980
-(1,142:2708808,26582656:0,0,0
-)
-(1,142:2708808,25069676:28077056,2039235,1512980
-(1,142:2708808,26582656:28077056,3552215,0
-[1,142:2708808,26582656:28077056,3552215,0
-(1,142:2708808,23030441:28077056,0,0
-h1,142:2708808,23030441:0,0,0
-k1,142:30785864,23030441:28077056
-g1,142:30785864,23030441
-)
-]
-)
-)
-(1,142:30785864,23030441:0,0,0
-)
-)
-g1,145:30785864,25069676
-g1,145:30785864,25069676
-)
-(1,147:2708808,27434624:28077056,589824,196608
-(1,146:2708808,27434624:0,589824,196608
-g1,146:2708808,27434624
-g1,146:1682088,27434624
-g1,146:1425408,27434624
-(1,146:1425408,27434624:1026720,589824,196608
-g1,146:1425408,27434624
-(1,146:1425408,27434624:1026720,589824,196608
-g1,146:1425408,27434624
-x1,146:1724868,27434624
-g1,146:1724868,27434624
-x1,146:2152668,27434624
-g1,146:2152668,27434624
-x1,146:2452128,27434624
-)
-)
-g1,146:2708808,27434624
-)
-x1,146:3008268,27434624
-$1,146:3008268,27434624
-x1,146:3393288,27434624
-g1,146:3524358,27434624
-(1,146:3524358,27434624:1030998,483719,152916
-(1,146:3524358,27434624:1030998,483719,152916
-x1,146:4555356,27434624
-)
-)
-$1,146:4555356,27434624
-x1,146:4854816,27434624
-g1,146:5111496,27434624
-x1,146:6613074,27434624
-g1,146:6869754,27434624
-x1,146:8024814,27434624
-g1,146:8281494,27434624
-x1,146:8799132,27434624
-g1,146:9055812,27434624
-x1,146:10702842,27434624
-x1,146:11142702,27434624
-g1,146:11399382,27434624
-x1,146:12088140,27434624
-g1,146:12344820,27434624
-x1,146:14098800,27434624
-k1,147:30785864,27434624:16687064
-g1,147:30785864,27434624
-)
-(1,151:2708808,29736003:28077056,2039235,1512980
-(1,148:2708808,29736003:28077056,2039235,1512980
-(1,148:2708808,31248983:0,0,0
-)
-(1,148:2708808,29736003:28077056,2039235,1512980
-(1,148:2708808,31248983:28077056,3552215,0
-[1,148:2708808,31248983:28077056,3552215,0
-(1,148:2708808,27696768:28077056,0,0
-h1,148:2708808,27696768:0,0,0
-k1,148:30785864,27696768:28077056
-g1,148:30785864,27696768
-)
-]
-)
-)
-(1,148:30785864,27696768:0,0,0
-)
-)
-g1,151:30785864,29736003
-g1,151:30785864,29736003
-)
-(1,161:1425408,32469863:29360456,589824,196608
-(1,158:1425408,32469863:0,0,0
-g1,158:1425408,32469863
-g1,158:0,32469863
-g1,158:-256680,32469863
-(1,158:-256680,32469863:1425408,0,0
-k1,158:1168728,32469863:1425408
-)
-g1,158:1425408,32469863
-)
-k1,158:1425408,32469863:0
-(1,158:1425408,32469863:0,589824,196608
-k1,158:-2795666,32469863:-4221074
-x1,158:-2496206,32469863
-$1,158:-2496206,32469863
-(1,158:-2496206,32469863:717620,462465,0
-x1,158:-1778586,32469863
-)
-(1,158:-1778586,32209445:778615,322479,101945
-x1,158:-1032739,32209445
-)
-$1,158:-999971,32469863
-x1,158:-720896,32469863
-r1,158:-720896,32469863:0,786432,196608
-g1,158:1425408,32469863
-g1,158:1425408,32469863
-)
-(1,158:1425408,32469863:0,506811,0
-k1,158:442368,32469863:-983040
-(1,158:442368,32469863:983040,506811,0
-x1,158:1130496,32469863
-g1,158:1425408,32469863
-)
-)
-x1,158:3393288,32469863
-k1,159:3705081,32469863:311793
-x1,159:4774581,32469863
-k1,159:5086374,32469863:311793
-x1,159:7396494,32469863
-k1,159:7708287,32469863:311793
-x1,159:9380985,32469863
-x1,159:10001295,32469863
-k1,159:10313089,32469863:311794
-x1,159:11232859,32469863
-k1,159:11544652,32469863:311793
-x1,159:12614152,32469863
-x1,159:13020562,32469863
-k1,159:13332355,32469863:311793
-x1,159:13952665,32469863
-k1,159:14264458,32469863:311793
-x1,159:15333958,32469863
-k1,159:15645751,32469863:311793
-x1,159:17078881,32469863
-x1,159:18640351,32469863
-k1,159:18952144,32469863:311793
-x1,159:19593844,32469863
-x1,159:21711454,32469863
-k1,159:22023247,32469863:311793
-x1,159:22365487,32469863
-k1,160:22023247,32469863:-21390
-x1,160:24269197,32469863
-k1,160:24776777,32469863:507580
-x1,160:26744657,32469863
-k1,160:27056450,32469863:311793
-x1,160:27574088,32469863
-k1,160:27885881,32469863:311793
-x1,160:29319011,32469863
-k1,160:29630804,32469863:311793
-x1,160:30785864,32469863
-k1,160:30785864,32469863:0
-)
-(1,161:1425408,33420135:29360456,483719,0
-x1,161:3735528,33420135
-g1,160:3992208,33420135
-x1,160:5664906,33420135
-x1,160:6499116,33420135
-k1,161:30785864,33420135:24286748
-g1,161:30785864,33420135
-)
-(1,188:1425408,34567015:29360456,665187,6969756
-r1,161:1425408,34567015:0,7634943,6969756
-g1,161:2708808,34567015
-(1,188:2708808,34567015:0,665187,6969756
-$1,188:2708808,34567015
-[1,188:2708808,34567015:0,665187,6969756
-(1,188:2708808,34567015:-37458944,665187,6969756
-g1,163:2708808,34567015
-(1,175:2708808,34567015:14038528,665187,6969756
-r1,163:2708808,34567015:0,950271,285084
-[1,175:2708808,34567015:13298524,665187,3791259
-(1,164:2708808,34567015:13298524,665187,285084
-h1,163:2708808,34567015:0,0,0
-r1,163:2708808,34567015:0,950271,285084
-g1,163:2708808,34567015
-(1,163:2708808,34567015:0,589824,196608
-k1,163:1468188,34567015:-1240620
-x1,163:1767648,34567015
-g1,163:1767648,34567015
-x1,163:2152668,34567015
-g1,163:2152668,34567015
-x1,163:2452128,34567015
-g1,163:2708808,34567015
-)
-x1,163:3008268,34567015
-$1,163:3008268,34567015
-x1,163:3393288,34567015
-g1,163:3524358,34567015
-(1,163:3524358,34567015:1030998,483719,152916
-(1,163:3524358,34567015:1030998,483719,152916
-x1,163:4555356,34567015
-)
-)
-$1,163:4555356,34567015
-x1,163:4854816,34567015
-g1,163:5111496,34567015
-x1,163:5817093,34567015
-g1,163:6073773,34567015
-x1,163:7742193,34567015
-g1,163:7998873,34567015
-x1,163:8405283,34567015
-x1,163:9410613,34567015
-g1,163:9667293,34567015
-x1,163:10736793,34567015
-g1,163:10993473,34567015
-x1,163:13218033,34567015
-x1,163:14355981,34567015
-g1,163:14612661,34567015
-x1,163:15729219,34567015
-k1,163:16007332,34567015:278113
-)
-(1,164:2708808,35517287:13298524,546132,0
-x1,164:3778308,35517287
-g1,163:4034988,35517287
-x1,163:4420008,35517287
-x1,163:5938698,35517287
-g1,163:6195378,35517287
-x1,163:7970748,35517287
-k1,164:11989040,35517287:4018292
-k1,164:16007332,35517287:4018292
-)
-(1,171:2708808,37122918:13298524,1343487,950271
-(1,171:2708808,37122918:13298524,1343487,950271
-$1,171:2708808,37122918
-[1,171:2708808,37122918:13298524,1343487,950271
-(1,169:2708808,36444618:13298524,665187,285084
-g1,165:2708808,36444618
-(1,168:2708808,36444618:6649262,665187,285084
-r1,165:2708808,36444618:0,950271,285084
-[1,168:2708808,36444618:6550958,665187,285084
-(1,168:2708808,36444618:6550958,665187,285084
-h1,167:2708808,36444618:0,0,0
-r1,167:2708808,36444618:0,950271,285084
-g1,167:2708808,36444618
-(1,167:2708808,36543290:0,0,0
-)
-(1,167:2708808,36444618:723599,624927,98672
-(1,167:2708808,36543290:723599,723599,0
-[1,167:2708808,36543290:723599,723599,0
-(1,167:2708808,35819691:723599,0,0
-h1,167:2708808,35819691:0,0,0
-k1,167:3432407,35819691:723599
-g1,167:3432407,35819691
-)
-]
-)
-)
-(1,167:3432407,35819691:0,0,0
-)
-g1,167:3689087,36444618
-x1,167:5229167,36444618
-r1,168:5229167,36444618:0,285084,285084
-k1,168:7244467,36444618:2015300
-k1,168:9259766,36444618:2015299
-)
-]
-g1,168:9259766,36444618
-g1,168:9358070,36444618
-)
-g1,168:9358070,36444618
-(1,169:9358070,36444618:6649262,665187,285084
-g1,168:9456374,36444618
-[1,169:9456374,36444618:6550958,665187,285084
-(1,169:9456374,36444618:6550958,665187,285084
-h1,168:9456374,36444618:0,0,0
-r1,168:9456374,36444618:0,950271,285084
-g1,168:9456374,36444618
-(1,168:9456374,36543290:0,0,0
-)
-(1,168:9456374,36444618:723599,624927,98672
-(1,168:9456374,36543290:723599,723599,0
-[1,168:9456374,36543290:723599,723599,0
-(1,168:9456374,35819691:723599,0,0
-h1,168:9456374,35819691:0,0,0
-k1,168:10179973,35819691:723599
-g1,168:10179973,35819691
-)
-]
-)
-)
-(1,168:10179973,35819691:0,0,0
-)
-g1,168:10436653,36444618
-x1,168:11976733,36444618
-r1,169:11976733,36444618:0,285084,285084
-k1,169:13992033,36444618:2015300
-k1,169:16007332,36444618:2015299
-)
-]
-g1,169:16007332,36444618
-)
-g1,169:16007332,36444618
-)
-(1,171:2708808,37788105:13298524,665187,285084
-g1,169:2708808,37788105
-(1,170:2708808,37788105:6649262,665187,285084
-r1,169:2708808,37788105:0,950271,285084
-[1,170:2708808,37788105:6550958,665187,285084
-(1,170:2708808,37788105:6550958,665187,285084
-h1,169:2708808,37788105:0,0,0
-r1,169:2708808,37788105:0,950271,285084
-g1,169:2708808,37788105
-(1,169:2708808,37886777:0,0,0
-)
-(1,169:2708808,37788105:723599,624927,98672
-(1,169:2708808,37886777:723599,723599,0
-[1,169:2708808,37886777:723599,723599,0
-(1,169:2708808,37163178:723599,0,0
-h1,169:2708808,37163178:0,0,0
-k1,169:3432407,37163178:723599
-g1,169:3432407,37163178
-)
-]
-)
-)
-(1,169:3432407,37163178:0,0,0
-)
-g1,169:3689087,37788105
-x1,169:5229167,37788105
-r1,170:5229167,37788105:0,285084,285084
-k1,170:7244467,37788105:2015300
-k1,170:9259766,37788105:2015299
-)
-]
-g1,170:9259766,37788105
-g1,170:9358070,37788105
-)
-g1,170:9358070,37788105
-(1,171:9358070,37788105:6649262,665187,285084
-g1,170:9456374,37788105
-[1,171:9456374,37788105:6550958,665187,285084
-(1,171:9456374,37788105:6550958,665187,285084
-h1,170:9456374,37788105:0,0,0
-r1,170:9456374,37788105:0,950271,285084
-g1,170:9456374,37788105
-(1,170:9456374,37886777:0,0,0
-)
-(1,170:9456374,37788105:723599,624927,98672
-(1,170:9456374,37886777:723599,723599,0
-[1,170:9456374,37886777:723599,723599,0
-(1,170:9456374,37163178:723599,0,0
-h1,170:9456374,37163178:0,0,0
-k1,170:10179973,37163178:723599
-g1,170:10179973,37163178
-)
-]
-)
-)
-(1,170:10179973,37163178:0,0,0
-)
-g1,170:10436653,37788105
-x1,170:11976733,37788105
-r1,171:11976733,37788105:0,285084,285084
-k1,171:13992033,37788105:2015300
-k1,171:16007332,37788105:2015299
-)
-]
-g1,171:16007332,37788105
-)
-g1,171:16007332,37788105
-)
-]
-$1,171:16007332,37122918
-)
-g1,171:16007332,37122918
-g1,171:16007332,37122918
-)
-(1,175:2708808,38073190:13298524,0,285084
-r1,175:2708808,38073190:0,285084,285084
-k1,175:9358070,38073190:6649262
-k1,175:16007332,38073190:6649262
-)
-]
-g1,175:16007332,34567015
-g1,175:16747336,34567015
-)
-g1,175:16747336,34567015
-(1,188:16747336,34567015:-51497472,665187,6969756
-g1,176:17487340,34567015
-[1,188:17487340,34567015:13298524,665187,6969756
-(1,177:17487340,34567015:13298524,665187,285084
-h1,176:17487340,34567015:0,0,0
-r1,176:17487340,34567015:0,950271,285084
-g1,176:17487340,34567015
-(1,176:17487340,34567015:0,589824,196608
-k1,176:16203940,34567015:-1283400
-x1,176:16503400,34567015
-g1,176:16503400,34567015
-x1,176:16931200,34567015
-g1,176:16931200,34567015
-x1,176:17230660,34567015
-g1,176:17487340,34567015
-)
-x1,176:17786800,34567015
-$1,176:17786800,34567015
-x1,176:18171820,34567015
-g1,176:18302890,34567015
-(1,176:18302890,34567015:1030998,483719,152916
-(1,176:18302890,34567015:1030998,483719,152916
-x1,176:19333888,34567015
-)
-)
-$1,176:19333888,34567015
-x1,176:19633348,34567015
-g1,176:19890028,34567015
-x1,176:20595625,34567015
-g1,176:20852305,34567015
-x1,176:22520725,34567015
-g1,176:22777405,34567015
-x1,176:23183815,34567015
-x1,176:24189145,34567015
-g1,176:24445825,34567015
-x1,176:25515325,34567015
-g1,176:25772005,34567015
-x1,176:27996565,34567015
-x1,176:29134513,34567015
-g1,176:29391193,34567015
-x1,176:30507751,34567015
-k1,176:30785864,34567015:278113
-)
-(1,177:17487340,35517287:13298524,546132,0
-x1,177:18556840,35517287
-g1,176:18813520,35517287
-x1,176:19198540,35517287
-x1,176:20717230,35517287
-g1,176:20973910,35517287
-x1,176:22749280,35517287
-k1,177:26767572,35517287:4018292
-k1,177:30785864,35517287:4018292
-)
-(1,180:18467619,36664167:12318245,665187,285084
-(1,179:18467619,36664167:0,665187,285084
-g1,179:18467619,36664167
-g1,179:17487340,36664167
-g1,179:17487340,36664167
-(1,179:17487340,36664167:980279,665187,285084
-g1,179:17487340,36664167
-r1,179:17487340,36664167:0,950271,285084
-(1,179:17487340,36762839:0,0,0
-)
-(1,179:17487340,36664167:723599,624927,98672
-(1,179:17487340,36762839:723599,723599,0
-[1,179:17487340,36762839:723599,723599,0
-(1,179:17487340,36039240:723599,0,0
-h1,179:17487340,36039240:0,0,0
-k1,179:18210939,36039240:723599
-g1,179:18210939,36039240
-)
-]
-)
-)
-(1,179:18210939,36039240:0,0,0
-)
-g1,179:18467619,36664167
-)
-g1,179:18467619,36664167
-)
-g1,179:18467619,36664167
-x1,179:20007699,36664167
-k1,180:25396782,36664167:5389083
-k1,180:30785864,36664167:5389082
-)
-(1,181:18467619,37811047:12318245,665187,285084
-(1,180:18467619,37811047:0,665187,285084
-g1,180:18467619,37811047
-g1,180:17487340,37811047
-g1,180:17487340,37811047
-(1,180:17487340,37811047:980279,665187,285084
-g1,180:17487340,37811047
-r1,180:17487340,37811047:0,950271,285084
-(1,180:17487340,37909719:0,0,0
-)
-(1,180:17487340,37811047:723599,624927,98672
-(1,180:17487340,37909719:723599,723599,0
-[1,180:17487340,37909719:723599,723599,0
-(1,180:17487340,37186120:723599,0,0
-h1,180:17487340,37186120:0,0,0
-k1,180:18210939,37186120:723599
-g1,180:18210939,37186120
-)
-]
-)
-)
-(1,180:18210939,37186120:0,0,0
-)
-g1,180:18467619,37811047
-)
-g1,180:18467619,37811047
-)
-g1,180:18467619,37811047
-x1,180:20007699,37811047
-k1,181:25396782,37811047:5389083
-k1,181:30785864,37811047:5389082
-)
-(1,182:18467619,38957927:12318245,665187,285084
-(1,181:18467619,38957927:0,665187,285084
-g1,181:18467619,38957927
-g1,181:17487340,38957927
-g1,181:17487340,38957927
-(1,181:17487340,38957927:980279,665187,285084
-g1,181:17487340,38957927
-r1,181:17487340,38957927:0,950271,285084
-(1,181:17487340,39056599:0,0,0
-)
-(1,181:17487340,38957927:723599,624927,98672
-(1,181:17487340,39056599:723599,723599,0
-[1,181:17487340,39056599:723599,723599,0
-(1,181:17487340,38333000:723599,0,0
-h1,181:17487340,38333000:0,0,0
-k1,181:18210939,38333000:723599
-g1,181:18210939,38333000
-)
-]
-)
-)
-(1,181:18210939,38333000:0,0,0
-)
-g1,181:18467619,38957927
-)
-g1,181:18467619,38957927
-)
-g1,181:18467619,38957927
-x1,181:20007699,38957927
-k1,182:25396782,38957927:5389083
-k1,182:30785864,38957927:5389082
-)
-(1,184:18467619,40104807:12318245,665187,285084
-(1,182:18467619,40104807:0,665187,285084
-g1,182:18467619,40104807
-g1,182:17487340,40104807
-g1,182:17487340,40104807
-(1,182:17487340,40104807:980279,665187,285084
-g1,182:17487340,40104807
-r1,182:17487340,40104807:0,950271,285084
-(1,182:17487340,40203479:0,0,0
-)
-(1,182:17487340,40104807:723599,624927,98672
-(1,182:17487340,40203479:723599,723599,0
-[1,182:17487340,40203479:723599,723599,0
-(1,182:17487340,39479880:723599,0,0
-h1,182:17487340,39479880:0,0,0
-k1,182:18210939,39479880:723599
-g1,182:18210939,39479880
-)
-]
-)
-)
-(1,182:18210939,39479880:0,0,0
-)
-g1,182:18467619,40104807
-)
-g1,182:18467619,40104807
-)
-g1,182:18467619,40104807
-x1,182:20007699,40104807
-k1,184:25396782,40104807:5389083
-k1,184:30785864,40104807:5389082
-)
-(1,188:17487340,41251687:13298524,0,285084
-r1,188:17487340,41251687:0,285084,285084
-k1,188:24136602,41251687:6649262
-k1,188:30785864,41251687:6649262
-)
-]
-g1,188:30785864,34567015
-g1,188:-34750136,34567015
-)
-g1,188:-34750136,34567015
-)
-]
-$1,188:2708808,34567015
-)
-k1,188:30785864,34567015:28077056
-g1,188:30785864,34567015
-)
-]
-(1,192:0,42626580:30785864,0,0
-(1,192:0,42626580:30785864,0,0
-(1,192:0,42626580:0,0,0
-r1,192:0,42626580:0,0,0
-g1,192:30785864,42626580
-g1,192:30785864,42626580
-r1,192:30785864,42626580:0,0,0
-g1,192:31506760,42626580
-g1,192:31506760,42626580
-(1,192:31506760,42626580:2293760,0,0
-(1,192:31506760,43971707:2293760,1345127,951910
-$1,192:31506760,43971707
-[1,192:31506760,43971707:2293760,1345127,951910
-(1,192:31506760,43971707:2293760,1345127,951910
-h1,192:31506760,43971707:0,0,0
-(1,192:31506760,43971707:2293760,1345127,951910
-$1,192:31506760,43971707
-[1,192:31506760,43971707:2293760,1345127,951910
-(1,192:31506760,43635834:2293760,983040,285084
-g1,192:31506760,43635834
-(1,192:31506760,43635834:2293760,983040,285084
-r1,192:31506760,43635834:0,950271,285084
-r1,192:31532974,43635834:26214,1268124,285084
-g1,192:31532974,43635834
-k1,192:32653640,43635834:1120666
-r1,192:32653640,43635834:0,1268124,285084
-k1,192:33774306,43635834:1120666
-g1,192:33774306,43635834
-r1,192:33800520,43635834:26214,1268124,285084
-)
-g1,192:33800520,43635834
-)
-(1,192:31506760,44612319:2293760,665187,285084
-g1,192:31506760,44612319
-(1,192:31506760,44612319:2293760,665187,285084
-r1,192:31506760,44612319:0,950271,285084
-r1,192:31532974,44612319:26214,950271,285084
-g1,192:31532974,44612319
-g1,192:31532974,44612319
-(1,192:31532974,44612319:2241332,462465,139537
-k1,192:31754623,44612319:221649
-$1,192:31754623,44612319
-(1,192:31754623,44612319:717620,462465,0
-x1,192:32472243,44612319
-)
-g1,192:32591844,44612319
-(1,192:32591844,44612319:960814,441393,139537
-(1,192:32591844,44612319:960814,441393,139537
-x1,192:33552658,44612319
-)
-)
-$1,192:33552658,44612319
-k1,192:33774306,44612319:221648
-)
-g1,192:33774306,44612319
-g1,192:33774306,44612319
-r1,192:33800520,44612319:26214,950271,285084
-)
-g1,192:33800520,44612319
-)
-]
-$1,192:33800520,43971707
-)
-g1,192:33800520,43971707
-g1,192:33800520,43971707
-)
-]
-$1,192:33800520,43971707
-)
-)
-k1,192:0,42626580:-33800520
-)
-g1,192:0,42626580
-k1,192:15392932,42626580:15392932
-k1,192:30785864,42626580:15392932
-)
-)
-]
-]
-]
-!45099
-}2
-Input:65:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel1.cut
-Input:66:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel1.cut
-Input:67:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel2.cut
-Input:68:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel2.cut
-Input:69:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel3.cut
-Input:70:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\panel3.cut
-!544
-{3
-[1,357:0,42626580:30785864,42626580,0
-[1,357:0,0:0,0,0
-(1,357:0,-2433924:0,0,0
-k1,357:0,-2433924:65781
-)
-]
-[1,357:0,42626580:30785864,42626580,0
-[1,357:0,42626580:30785864,44994723,0
-[1,357:0,-1581711:30785864,786432,0
-(1,357:0,-1581711:30785864,589824,196608
-(1,357:0,-1581711:30785864,589824,196608
-r1,357:0,-1581711:0,786432,196608
-g1,357:0,-1581711
-g1,357:0,-1581711
-(1,357:0,-1581711:30785864,589824,196608
-g1,357:0,-1581711
-x1,357:2267340,-1581711
-k1,357:8668980,-1581711:6401640
-x1,357:9054000,-1581711
-g1,357:9310680,-1581711
-x1,357:9834599,-1581711
-x1,357:10925489,-1581711
-g1,357:11182169,-1581711
-x1,357:11567189,-1581711
-g1,357:11823869,-1581711
-x1,357:12444179,-1581711
-g1,357:12700859,-1581711
-x1,357:13085879,-1581711
-g1,357:13342559,-1581711
-x1,357:13727579,-1581711
-k1,357:20129219,-1581711:6401640
-$1,357:20129219,-1581711
-[1,357:20129219,-1581711:10656645,537395,157280
-(1,357:20129219,-1581711:10656645,537395,0
-(1,357:20129219,-1581711:10656645,537395,0
-(1,357:20129219,-1581711:10656645,537395,0
-x1,357:22289336,-1581711
-k1,357:30785864,-1581711:8496528
-)
-)
-)
-]
-$1,357:30785864,-1581711
-(1,357:30785864,-1581711:0,559146,164453
-(1,357:30785864,-1515930:0,624927,98672
-(1,357:30785864,-1515930:0,624927,98672
-k1,357:22546016,-1515930:-8239848
-(1,357:22546016,-1515930:8239848,624927,98672
-(1,357:22546016,-1417258:0,0,0
-)
-(1,357:22546016,-1515930:8239848,624927,98672
-(1,357:22546016,-1417258:8239848,723599,0
-[1,357:22546016,-1417258:8239848,723599,0
-(1,357:22546016,-2140857:8239848,0,0
-h1,357:22546016,-2140857:0,0,0
-k1,357:30785864,-2140857:8239848
-g1,357:30785864,-2140857
-)
-]
-)
-)
-(1,357:30785864,-2140857:0,0,0
-)
-)
-)
-)
-)
-g1,357:30785864,-1581711
-)
-)
-)
-]
-[1,357:0,41905684:30785864,42176675,0
-(1,194:1425408,515441:29360456,589824,196608
-(1,192:1425408,515441:0,0,0
-g1,192:1425408,515441
-g1,192:0,515441
-g1,192:-256680,515441
-(1,192:-256680,515441:1425408,0,0
-k1,192:1168728,515441:1425408
-)
-g1,192:1425408,515441
-)
-g1,193:1425408,515441
-(1,193:1425408,515441:0,589824,196608
-k1,193:-2436856,515441:-3862264
-x1,193:-2137396,515441
-$1,193:-2137396,515441
-x1,193:-1778586,515441
-(1,193:-1778586,255023:778615,322479,101945
-x1,193:-1032739,255023
-)
-$1,193:-999971,515441
-x1,193:-720896,515441
-r1,193:-720896,515441:0,786432,196608
-g1,193:1425408,515441
-g1,193:1425408,515441
-)
-(1,193:1425408,515441:0,506811,0
-k1,193:442368,515441:-983040
-(1,193:442368,515441:983040,506811,0
-x1,193:1130496,515441
-g1,193:1425408,515441
-)
-)
-x1,193:3200505,515441
-x1,193:3606915,515441
-g1,193:3863595,515441
-x1,193:4483905,515441
-g1,193:4740585,515441
-x1,193:5810085,515441
-g1,193:6066765,515441
-x1,193:7499895,515441
-x1,193:9061365,515441
-g1,193:9318045,515441
-x1,193:9745845,515441
-x1,193:10712673,515441
-g1,193:10969353,515441
-x1,193:13326531,515441
-x1,193:13993899,515441
-g1,193:14250579,515441
-x1,193:17313354,515441
-g1,193:17570034,515441
-x1,193:19281234,515441
-x1,193:19687644,515441
-x1,193:20436294,515441
-k1,194:30785864,515441:10349570
-g1,194:30785864,515441
-)
-(1,207:2405687,1662321:28380177,665187,285084
-(1,204:2405687,1662321:0,665187,285084
-g1,204:2405687,1662321
-g1,204:1425408,1662321
-g1,204:1425408,1662321
-(1,204:1425408,1662321:980279,665187,285084
-g1,204:1425408,1662321
-r1,204:1425408,1662321:0,950271,285084
-(1,204:1425408,1760993:0,0,0
-)
-(1,204:1425408,1662321:723599,624927,98672
-(1,204:1425408,1760993:723599,723599,0
-[1,204:1425408,1760993:723599,723599,0
-(1,204:1425408,1037394:723599,0,0
-h1,204:1425408,1037394:0,0,0
-k1,204:2149007,1037394:723599
-g1,204:2149007,1037394
-)
-]
-)
-)
-(1,204:2149007,1037394:0,0,0
-)
-g1,204:2405687,1662321
-)
-g1,204:2405687,1662321
-)
-g1,204:2405687,1662321
-x1,204:3325184,1662321
-g1,204:3581864,1662321
-x1,204:4758314,1662321
-x1,204:6105884,1662321
-x1,204:6897314,1662321
-g1,204:7153994,1662321
-x1,204:8223494,1662321
-g1,204:8480174,1662321
-x1,204:11350712,1662321
-g1,204:11607392,1662321
-x1,204:13361372,1662321
-g1,204:13618052,1662321
-x1,204:14563490,1662321
-g1,204:14820170,1662321
-x1,204:16445537,1662321
-x1,204:17882945,1662321
-x1,204:18267965,1662321
-g1,204:18524645,1662321
-x1,204:19080785,1662321
-x1,204:19748153,1662321
-g1,204:20004833,1662321
-x1,204:22019771,1662321
-g1,204:22276451,1662321
-x1,204:23196221,1662321
-g1,204:23452901,1662321
-x1,204:24522401,1662321
-g1,204:24779081,1662321
-x1,204:27174761,1662321
-k1,207:30785864,1662321:3611103
-g1,207:30785864,1662321
-)
-(1,210:2405687,2809201:28380177,665187,285084
-(1,207:2405687,2809201:0,665187,285084
-g1,207:2405687,2809201
-g1,207:1425408,2809201
-g1,207:1425408,2809201
-(1,207:1425408,2809201:980279,665187,285084
-g1,207:1425408,2809201
-r1,207:1425408,2809201:0,950271,285084
-(1,207:1425408,2907873:0,0,0
-)
-(1,207:1425408,2809201:723599,624927,98672
-(1,207:1425408,2907873:723599,723599,0
-[1,207:1425408,2907873:723599,723599,0
-(1,207:1425408,2184274:723599,0,0
-h1,207:1425408,2184274:0,0,0
-k1,207:2149007,2184274:723599
-g1,207:2149007,2184274
-)
-]
-)
-)
-(1,207:2149007,2184274:0,0,0
-)
-g1,207:2405687,2809201
-)
-g1,207:2405687,2809201
-)
-g1,207:2405687,2809201
-x1,207:3630197,2809201
-x1,207:4378847,2809201
-g1,207:4635527,2809201
-x1,207:6560627,2809201
-g1,207:6817307,2809201
-x1,207:7737077,2809201
-g1,207:7993757,2809201
-x1,207:9324215,2809201
-g1,207:9580895,2809201
-x1,207:11339153,2809201
-g1,207:11595833,2809201
-x1,207:12665333,2809201
-g1,207:12922013,2809201
-x1,207:16280243,2809201
-g1,207:16536923,2809201
-x1,207:19360403,2809201
-g1,207:19617083,2809201
-$1,207:19617083,2809201
-x1,207:19996643,2809201
-x1,207:21117116,2809201
-$1,207:21117116,2809201
-x1,207:21331016,2809201
-k1,210:30785864,2809201:9454848
-g1,210:30785864,2809201
-)
-(1,213:2405687,3956081:28380177,665187,285084
-(1,210:2405687,3956081:0,665187,285084
-g1,210:2405687,3956081
-g1,210:1425408,3956081
-g1,210:1425408,3956081
-(1,210:1425408,3956081:980279,665187,285084
-g1,210:1425408,3956081
-r1,210:1425408,3956081:0,950271,285084
-(1,210:1425408,4054753:0,0,0
-)
-(1,210:1425408,3956081:723599,624927,98672
-(1,210:1425408,4054753:723599,723599,0
-[1,210:1425408,4054753:723599,723599,0
-(1,210:1425408,3331154:723599,0,0
-h1,210:1425408,3331154:0,0,0
-k1,210:2149007,3331154:723599
-g1,210:2149007,3331154
-)
-]
-)
-)
-(1,210:2149007,3331154:0,0,0
-)
-g1,210:2405687,3956081
-)
-g1,210:2405687,3956081
-)
-g1,210:2405687,3956081
-x1,210:3325184,3956081
-g1,210:3581864,3956081
-x1,210:6020324,3956081
-g1,210:6277004,3956081
-x1,210:7346504,3956081
-g1,210:7603184,3956081
-x1,210:8416004,3956081
-x1,210:9464114,3956081
-x1,210:11008472,3956081
-x1,210:11799902,3956081
-g1,210:12056582,3956081
-x1,210:13532492,3956081
-x1,210:13853342,3956081
-x1,210:14238362,3956081
-g1,210:14495042,3956081
-x1,210:15735662,3956081
-g1,210:15992342,3956081
-x1,210:17322800,3956081
-g1,210:17579480,3956081
-x1,210:19081058,3956081
-g1,210:19337738,3956081
-x1,210:20407238,3956081
-g1,210:20663918,3956081
-x1,210:22760138,3956081
-x1,210:23209328,3956081
-g1,210:23466008,3956081
-x1,210:24086318,3956081
-g1,210:24342998,3956081
-x1,210:25887356,3956081
-g1,210:26144036,3956081
-x1,210:28826342,3956081
-k1,213:30785864,3956081:1959522
-g1,213:30785864,3956081
-)
-(1,216:2405687,5102961:28380177,665187,285084
-(1,213:2405687,5102961:0,665187,285084
-g1,213:2405687,5102961
-g1,213:1425408,5102961
-g1,213:1425408,5102961
-(1,213:1425408,5102961:980279,665187,285084
-g1,213:1425408,5102961
-r1,213:1425408,5102961:0,950271,285084
-(1,213:1425408,5201633:0,0,0
-)
-(1,213:1425408,5102961:723599,624927,98672
-(1,213:1425408,5201633:723599,723599,0
-[1,213:1425408,5201633:723599,723599,0
-(1,213:1425408,4478034:723599,0,0
-h1,213:1425408,4478034:0,0,0
-k1,213:2149007,4478034:723599
-g1,213:2149007,4478034
-)
-]
-)
-)
-(1,213:2149007,4478034:0,0,0
-)
-g1,213:2405687,5102961
-)
-g1,213:2405687,5102961
-)
-g1,213:2405687,5102961
-x1,213:3325184,5102961
-g1,213:3581864,5102961
-x1,213:4912322,5102961
-g1,213:5169002,5102961
-x1,213:6730472,5102961
-x1,213:8805302,5102961
-g1,213:9061982,5102961
-x1,213:9447002,5102961
-g1,213:9703682,5102961
-x1,213:11975300,5102961
-g1,213:12231980,5102961
-x1,213:13002020,5102961
-x1,213:15397700,5102961
-g1,213:15654380,5102961
-x1,213:16274690,5102961
-g1,213:16531370,5102961
-x1,213:17600870,5102961
-g1,213:17857550,5102961
-x1,213:19440410,5102961
-g1,213:19697090,5102961
-x1,213:20937710,5102961
-g1,213:21194390,5102961
-x1,213:22349450,5102961
-x1,213:23269220,5102961
-x1,213:24188990,5102961
-x1,213:24574010,5102961
-g1,213:24830690,5102961
-x1,213:25451000,5102961
-g1,213:25707680,5102961
-x1,213:26092700,5102961
-g1,213:26349380,5102961
-x1,213:29322590,5102961
-k1,216:30785864,5102961:1463274
-g1,216:30785864,5102961
-)
-(1,219:2405687,6249841:28380177,665187,285084
-(1,216:2405687,6249841:0,665187,285084
-g1,216:2405687,6249841
-g1,216:1425408,6249841
-g1,216:1425408,6249841
-(1,216:1425408,6249841:980279,665187,285084
-g1,216:1425408,6249841
-r1,216:1425408,6249841:0,950271,285084
-(1,216:1425408,6348513:0,0,0
-)
-(1,216:1425408,6249841:723599,624927,98672
-(1,216:1425408,6348513:723599,723599,0
-[1,216:1425408,6348513:723599,723599,0
-(1,216:1425408,5624914:723599,0,0
-h1,216:1425408,5624914:0,0,0
-k1,216:2149007,5624914:723599
-g1,216:2149007,5624914
-)
-]
-)
-)
-(1,216:2149007,5624914:0,0,0
-)
-g1,216:2405687,6249841
-)
-g1,216:2405687,6249841
-)
-k1,216:2405687,6249841:0
-x1,216:3394907,6249841
-x1,216:3779927,6249841
-x1,216:4100777,6249841
-k1,216:4442927,6249841:342150
-x1,216:4827947,6249841
-k1,216:5170098,6249841:342151
-x1,216:7869516,6249841
-k1,216:8211666,6249841:342150
-x1,216:8981706,6249841
-x1,216:11377386,6249841
-k1,216:11719536,6249841:342150
-x1,216:12339846,6249841
-k1,216:12681996,6249841:342150
-x1,216:13751496,6249841
-k1,216:14093647,6249841:342151
-x1,216:14863687,6249841
-x1,216:16574887,6249841
-k1,216:16917037,6249841:342150
-x1,216:17558737,6249841
-x1,216:19462447,6249841
-x1,216:20232487,6249841
-x1,216:21045307,6249841
-k1,216:21387457,6249841:342150
-x1,216:22029157,6249841
-x1,216:23932867,6249841
-k1,216:24275017,6249841:342150
-x1,216:25686757,6249841
-k1,216:26028908,6249841:342151
-x1,216:26841728,6249841
-x1,216:27376478,6249841
-k1,216:27718628,6249841:342150
-x1,216:28146428,6249841
-x1,216:29498276,6249841
-k1,216:29840426,6249841:342150
-x1,216:30785864,6249841
-k1,216:30785864,6249841:0
-)
-(1,219:2405687,7200113:28380177,338603,0
-x1,219:4416347,7200113
-k1,219:30785863,7200113:26369516
-g1,219:30785863,7200113
-)
-(1,222:2405687,8346993:28380177,665187,285084
-(1,219:2405687,8346993:0,665187,285084
-g1,219:2405687,8346993
-g1,219:1425408,8346993
-g1,219:1425408,8346993
-(1,219:1425408,8346993:980279,665187,285084
-g1,219:1425408,8346993
-r1,219:1425408,8346993:0,950271,285084
-(1,219:1425408,8445665:0,0,0
-)
-(1,219:1425408,8346993:723599,624927,98672
-(1,219:1425408,8445665:723599,723599,0
-[1,219:1425408,8445665:723599,723599,0
-(1,219:1425408,7722066:723599,0,0
-h1,219:1425408,7722066:0,0,0
-k1,219:2149007,7722066:723599
-g1,219:2149007,7722066
-)
-]
-)
-)
-(1,219:2149007,7722066:0,0,0
-)
-g1,219:2405687,8346993
-)
-g1,219:2405687,8346993
-)
-g1,219:2405687,8346993
-x1,219:3500582,8346993
-g1,219:3757262,8346993
-x1,219:6580742,8346993
-g1,219:6837422,8346993
-x1,219:7757192,8346993
-g1,219:8013872,8346993
-x1,219:9083372,8346993
-g1,219:9340052,8346993
-x1,219:11029862,8346993
-x1,219:12291872,8346993
-x1,219:12612722,8346993
-g1,219:12869402,8346993
-x1,219:14110022,8346993
-g1,219:14366702,8346993
-x1,219:15436202,8346993
-g1,219:15692882,8346993
-x1,219:16334582,8346993
-x1,219:18238292,8346993
-g1,219:18494972,8346993
-x1,219:19012610,8346993
-g1,219:19269290,8346993
-x1,219:20770868,8346993
-g1,219:21027548,8346993
-x1,219:21776198,8346993
-x1,219:22524848,8346993
-g1,219:22781528,8346993
-x1,219:23466008,8346993
-g1,219:23722688,8346993
-x1,219:24967586,8346993
-g1,219:25224266,8346993
-x1,219:26037086,8346993
-x1,219:26422106,8346993
-x1,219:26571836,8346993
-k1,222:30785864,8346993:4214028
-g1,222:30785864,8346993
-)
-(1,234:1425408,9887089:29360456,589824,196608
-(1,232:1425408,9887089:0,0,0
-g1,232:1425408,9887089
-g1,232:0,9887089
-g1,232:-256680,9887089
-(1,232:-256680,9887089:1425408,0,0
-k1,232:1168728,9887089:1425408
-)
-g1,232:1425408,9887089
-)
-g1,233:1425408,9887089
-(1,233:1425408,9887089:0,589824,196608
-k1,233:-2436856,9887089:-3862264
-x1,233:-2137396,9887089
-$1,233:-2137396,9887089
-x1,233:-1778586,9887089
-(1,233:-1778586,9626671:778615,322479,101945
-x1,233:-1032739,9626671
-)
-$1,233:-999971,9887089
-x1,233:-720896,9887089
-r1,233:-720896,9887089:0,786432,196608
-g1,233:1425408,9887089
-g1,233:1425408,9887089
-)
-(1,233:1425408,9887089:0,506811,0
-k1,233:442368,9887089:-983040
-(1,233:442368,9887089:983040,506811,0
-x1,233:1130496,9887089
-g1,233:1425408,9887089
-)
-)
-x1,233:3200505,9887089
-x1,233:3606915,9887089
-g1,233:3863595,9887089
-x1,233:4483905,9887089
-g1,233:4740585,9887089
-x1,233:5810085,9887089
-g1,233:6066765,9887089
-x1,233:7499895,9887089
-x1,233:9061365,9887089
-g1,233:9318045,9887089
-x1,233:10344765,9887089
-g1,233:10601445,9887089
-x1,233:13275195,9887089
-g1,233:13531875,9887089
-x1,233:15824883,9887089
-k1,234:30785864,9887089:14960981
-g1,234:30785864,9887089
-)
-(1,242:1425408,11033969:29360456,671744,278527
-(1,242:1425408,11033969:24532581,671744,278527
-$1,242:1425408,11033969
-[1,242:1425408,11033969:24532581,671744,278527
-(1,242:1425408,11027412:24532581,665187,285084
-g1,235:1425408,11027412
-(1,238:1425408,11027412:4827873,665187,285084
-r1,235:1425408,11027412:0,950271,285084
-[1,238:1425408,11027412:4729569,665187,285084
-(1,238:1425408,11027412:4729569,665187,285084
-h1,237:1425408,11027412:0,0,0
-r1,237:1425408,11027412:0,950271,285084
-g1,237:1425408,11027412
-(1,237:1425408,11027412:723599,624927,98672
-(1,237:1425408,11126084:0,0,0
-)
-(1,237:1425408,11027412:723599,624927,98672
-(1,237:1425408,11126084:723599,723599,0
-[1,237:1425408,11126084:723599,723599,0
-(1,237:1425408,10402485:723599,0,0
-h1,237:1425408,10402485:0,0,0
-k1,237:2149007,10402485:723599
-g1,237:2149007,10402485
-)
-]
-)
-)
-(1,237:2149007,10402485:0,0,0
-)
-)
-g1,237:2405687,11027412
-x1,237:3934936,11027412
-r1,238:3934936,11027412:0,285084,285084
-k1,238:5044957,11027412:1110021
-k1,238:6154977,11027412:1110020
-)
-]
-g1,238:6154977,11027412
-g1,238:6253281,11027412
-)
-g1,238:6253281,11027412
-(1,239:6253281,11027412:4926177,665187,285084
-g1,238:6351585,11027412
-[1,239:6351585,11027412:4729569,665187,285084
-(1,239:6351585,11027412:4729569,665187,285084
-h1,238:6351585,11027412:0,0,0
-r1,238:6351585,11027412:0,950271,285084
-g1,238:6351585,11027412
-(1,238:6351585,11027412:723599,624927,98672
-(1,238:6351585,11126084:0,0,0
-)
-(1,238:6351585,11027412:723599,624927,98672
-(1,238:6351585,11126084:723599,723599,0
-[1,238:6351585,11126084:723599,723599,0
-(1,238:6351585,10402485:723599,0,0
-h1,238:6351585,10402485:0,0,0
-k1,238:7075184,10402485:723599
-g1,238:7075184,10402485
-)
-]
-)
-)
-(1,238:7075184,10402485:0,0,0
-)
-)
-g1,238:7331864,11027412
-x1,238:9347804,11027412
-r1,239:9347804,11027412:0,285084,285084
-k1,239:10214479,11027412:866675
-k1,239:11081154,11027412:866675
-)
-]
-g1,239:11081154,11027412
-g1,239:11179458,11027412
-)
-g1,239:11179458,11027412
-(1,240:11179458,11027412:4926177,665187,285084
-g1,239:11277762,11027412
-[1,240:11277762,11027412:4729569,665187,285084
-(1,240:11277762,11027412:4729569,665187,285084
-h1,239:11277762,11027412:0,0,0
-r1,239:11277762,11027412:0,950271,285084
-g1,239:11277762,11027412
-(1,239:11277762,11027412:723599,624927,98672
-(1,239:11277762,11126084:0,0,0
-)
-(1,239:11277762,11027412:723599,624927,98672
-(1,239:11277762,11126084:723599,723599,0
-[1,239:11277762,11126084:723599,723599,0
-(1,239:11277762,10402485:723599,0,0
-h1,239:11277762,10402485:0,0,0
-k1,239:12001361,10402485:723599
-g1,239:12001361,10402485
-)
-]
-)
-)
-(1,239:12001361,10402485:0,0,0
-)
-)
-g1,239:12258041,11027412
-x1,239:12835298,11027412
-x1,239:13926188,11027412
-x1,239:14460938,11027412
-r1,240:14460938,11027412:0,285084,285084
-k1,240:15234135,11027412:773197
-k1,240:16007331,11027412:773196
-)
-]
-g1,240:16007331,11027412
-g1,240:16105635,11027412
-)
-g1,240:16105635,11027412
-(1,241:16105635,11027412:4926177,665187,285084
-g1,240:16203939,11027412
-[1,241:16203939,11027412:4729569,665187,285084
-(1,241:16203939,11027412:4729569,665187,285084
-h1,240:16203939,11027412:0,0,0
-r1,240:16203939,11027412:0,950271,285084
-g1,240:16203939,11027412
-(1,240:16203939,11027412:723599,624927,98672
-(1,240:16203939,11126084:0,0,0
-)
-(1,240:16203939,11027412:723599,624927,98672
-(1,240:16203939,11126084:723599,723599,0
-[1,240:16203939,11126084:723599,723599,0
-(1,240:16203939,10402485:723599,0,0
-h1,240:16203939,10402485:0,0,0
-k1,240:16927538,10402485:723599
-g1,240:16927538,10402485
-)
-]
-)
-)
-(1,240:16927538,10402485:0,0,0
-)
-)
-g1,240:17184218,11027412
-x1,240:19622678,11027412
-r1,241:19622678,11027412:0,285084,285084
-k1,241:20278093,11027412:655415
-k1,241:20933508,11027412:655415
-)
-]
-g1,241:20933508,11027412
-g1,241:21031812,11027412
-)
-g1,241:21031812,11027412
-(1,242:21031812,11027412:4926177,665187,285084
-g1,241:21130116,11027412
-[1,242:21130116,11027412:4729569,665187,285084
-(1,242:21130116,11027412:4729569,665187,285084
-h1,241:21130116,11027412:0,0,0
-r1,241:21130116,11027412:0,950271,285084
-g1,241:21130116,11027412
-(1,241:21130116,11027412:723599,624927,98672
-(1,241:21130116,11126084:0,0,0
-)
-(1,241:21130116,11027412:723599,624927,98672
-(1,241:21130116,11126084:723599,723599,0
-[1,241:21130116,11126084:723599,723599,0
-(1,241:21130116,10402485:723599,0,0
-h1,241:21130116,10402485:0,0,0
-k1,241:21853715,10402485:723599
-g1,241:21853715,10402485
-)
-]
-)
-)
-(1,241:21853715,10402485:0,0,0
-)
-)
-g1,241:22110395,11027412
-x1,241:23447134,11027412
-r1,242:23447134,11027412:0,285084,285084
-k1,242:24653410,11027412:1206276
-k1,242:25859685,11027412:1206275
-)
-]
-g1,242:25859685,11027412
-g1,242:25957989,11027412
-)
-g1,242:25957989,11027412
-)
-]
-$1,242:25957989,11033969
-)
-k1,242:30785864,11033969:4827875
-g1,242:30785864,11033969
-)
-(1,282:1425408,12574065:29360456,589824,196608
-(1,280:1425408,12574065:0,0,0
-g1,280:1425408,12574065
-g1,280:0,12574065
-g1,280:-256680,12574065
-(1,280:-256680,12574065:1425408,0,0
-k1,280:1168728,12574065:1425408
-)
-g1,280:1425408,12574065
-)
-g1,281:1425408,12574065
-(1,281:1425408,12574065:0,589824,196608
-k1,281:-2436856,12574065:-3862264
-x1,281:-2137396,12574065
-$1,281:-2137396,12574065
-x1,281:-1778586,12574065
-(1,281:-1778586,12313647:778615,322479,101945
-x1,281:-1032739,12313647
-)
-$1,281:-999971,12574065
-x1,281:-720896,12574065
-r1,281:-720896,12574065:0,786432,196608
-g1,281:1425408,12574065
-g1,281:1425408,12574065
-)
-(1,281:1425408,12574065:0,506811,0
-k1,281:442368,12574065:-983040
-(1,281:442368,12574065:983040,506811,0
-x1,281:1130496,12574065
-g1,281:1425408,12574065
-)
-)
-x1,281:2926986,12574065
-g1,281:3183666,12574065
-x1,281:3701304,12574065
-g1,281:3957984,12574065
-x1,281:4343004,12574065
-g1,281:4599684,12574065
-x1,281:7406052,12574065
-g1,281:7662732,12574065
-x1,281:8218872,12574065
-x1,281:9609222,12574065
-g1,281:9865902,12574065
-$1,281:9865902,12574065
-x1,281:10250922,12574065
-$1,281:10250922,12574065
-g1,281:10507602,12574065
-x1,281:10935402,12574065
-x1,281:11983512,12574065
-x1,281:12779220,12574065
-k1,282:30785864,12574065:18006644
-g1,282:30785864,12574065
-)
-(1,293:1425408,15400960:29360456,2564751,2171535
-$1,292:1425408,15400960
-[1,292:1425408,15400960:29360456,2564751,2171535
-(1,292:1425408,17572495:29360456,4736286,0
-h1,287:1425408,17572495:0,0,0
-[1,292:1425408,17572495:24723513,4736286,0
-(1,292:1425408,15467479:24723513,2631270,2105016
-(1,287:1425408,15467479:24723513,2631270,2105016
-(1,287:1425408,17572495:0,0,0
-)
-(1,287:1425408,15467479:24723513,2631270,2105016
-(1,287:1425408,17572495:24723513,4736286,0
-[1,287:1425408,17572495:24723513,4736286,0
-(1,287:1425408,12836209:24723513,0,0
-h1,287:1425408,12836209:0,0,0
-k1,287:26148920,12836209:24723512
-g1,287:26148920,12836209
-)
-]
-)
-)
-(1,287:26148921,12836209:0,0,0
-)
-)
-g1,292:26148921,15467479
-g1,292:26148921,15467479
-)
-]
-k1,292:30785864,17572495:4636943
-g1,292:30785864,17572495
-)
-]
-$1,292:30785864,15400960
-g1,293:30785864,15400960
-g1,293:30785864,15400960
-)
-(1,293:1425408,17352946:29360456,665187,285084
-r1,293:1425408,17352946:0,950271,285084
-(1,293:1425408,17352946:29360456,0,0
-(1,293:1425408,17352946:29360456,4736286,0
-[1,293:1425408,17352946:29360456,4736286,0
-(1,293:1425408,17444233:29360456,4630965,0
-h1,293:1425408,17444233:0,0,0
-k1,293:26345528,17444233:24920120
-(66,2:26345528,17444233:4183655,4630965,0
-(66,2:26345528,17444233:4183655,4630965,0
-)
-)
-g66,2:30785863,17444233
-g1,293:30785863,17444233
-g1,293:30785863,17444233
-)
-]
-)
-)
-g1,293:30785864,17352946
-)
-(1,293:1425408,18303218:29360456,665187,285084
-r1,293:1425408,18303218:0,950271,285084
-k1,293:30785864,18303218:29360456
-g1,293:30785864,18303218
-)
-(1,301:1425408,19646706:29360456,589824,196608
-(1,299:1425408,19646706:0,0,0
-g1,299:1425408,19646706
-g1,299:0,19646706
-g1,299:-256680,19646706
-(1,299:-256680,19646706:1425408,0,0
-k1,299:1168728,19646706:1425408
-)
-g1,299:1425408,19646706
-)
-g1,300:1425408,19646706
-(1,300:1425408,19646706:0,589824,196608
-k1,300:-2436856,19646706:-3862264
-x1,300:-2137396,19646706
-$1,300:-2137396,19646706
-x1,300:-1778586,19646706
-(1,300:-1778586,19386288:778615,322479,101945
-x1,300:-1032739,19386288
-)
-$1,300:-999971,19646706
-x1,300:-720896,19646706
-r1,300:-720896,19646706:0,786432,196608
-g1,300:1425408,19646706
-g1,300:1425408,19646706
-)
-(1,300:1425408,19646706:0,506811,0
-k1,300:442368,19646706:-983040
-(1,300:442368,19646706:983040,506811,0
-x1,300:1130496,19646706
-g1,300:1425408,19646706
-)
-)
-x1,300:2926986,19646706
-g1,300:3183666,19646706
-x1,300:3701304,19646706
-g1,300:3957984,19646706
-x1,300:4343004,19646706
-g1,300:4599684,19646706
-x1,300:7406052,19646706
-g1,300:7662732,19646706
-x1,300:8218872,19646706
-x1,300:9609222,19646706
-g1,300:9865902,19646706
-$1,300:9865902,19646706
-x1,300:10250922,19646706
-$1,300:10250922,19646706
-g1,300:10507602,19646706
-x1,300:10935402,19646706
-x1,300:11983512,19646706
-x1,300:12779220,19646706
-k1,301:30785864,19646706:18006644
-g1,301:30785864,19646706
-)
-(1,312:1425408,23065637:29360456,3156787,2763571
-$1,311:1425408,23065637
-[1,311:1425408,23065637:29360456,3156787,2763571
-(1,311:1425408,25829208:29360456,5920358,0
-h1,307:1425408,25829208:0,0,0
-[1,311:1425408,25829208:24723513,5920358,0
-(1,311:1425408,23132156:24723513,3223306,2697052
-(1,307:1425408,23132156:24723513,3223306,2697052
-(1,307:1425408,25829208:0,0,0
-)
-(1,307:1425408,23132156:24723513,3223306,2697052
-(1,307:1425408,25829208:24723513,5920358,0
-[1,307:1425408,25829208:24723513,5920358,0
-(1,307:1425408,19908850:24723513,0,0
-h1,307:1425408,19908850:0,0,0
-k1,307:26148920,19908850:24723512
-g1,307:26148920,19908850
-)
-]
-)
-)
-(1,307:26148921,19908850:0,0,0
-)
-)
-g1,311:26148921,23132156
-g1,311:26148921,23132156
-)
-]
-k1,311:30785864,25829208:4636943
-g1,311:30785864,25829208
-)
-]
-$1,311:30785864,23065637
-g1,312:30785864,23065637
-g1,312:30785864,23065637
-)
-(1,312:1425408,25609659:29360456,665187,285084
-r1,312:1425408,25609659:0,950271,285084
-(1,312:1425408,25609659:29360456,0,0
-(1,312:1425408,25609659:29360456,5920358,0
-[1,312:1425408,25609659:29360456,5920358,0
-(1,312:1425408,24516874:29360456,4630965,0
-h1,312:1425408,24516874:0,0,0
-k1,312:26345528,24516874:24920120
-(68,2:26345528,24516874:4183655,4630965,0
-(68,2:26345528,24516874:4183655,4630965,0
-)
-)
-g68,2:30785863,24516874
-g1,312:30785863,24516874
-g1,312:30785863,24516874
-)
-]
-)
-)
-g1,312:30785864,25609659
-)
-(1,312:1425408,26559931:29360456,665187,285084
-r1,312:1425408,26559931:0,950271,285084
-k1,312:30785864,26559931:29360456
-g1,312:30785864,26559931
-)
-(1,320:1425408,27975370:29360456,671603,196608
-(1,319:1425408,27975370:0,0,0
-g1,319:1425408,27975370
-g1,319:0,27975370
-g1,319:-256680,27975370
-(1,319:-256680,27975370:1425408,0,0
-k1,319:1168728,27975370:1425408
-)
-g1,319:1425408,27975370
-)
-g1,319:1425408,27975370
-(1,319:1425408,27975370:0,671603,196608
-k1,319:-2795666,27975370:-4221074
-x1,319:-2496206,27975370
-$1,319:-2496206,27975370
-(1,319:-2496206,27975370:717620,462465,0
-x1,319:-1778586,27975370
-)
-[1,319:-1778586,28068742:778615,764975,0
-(1,319:-1778586,27626246:778615,322479,101945
-x1,319:-1032739,27626246
-)
-(1,319:-1778586,28068742:713624,225735,0
-x1,319:-1097730,28068742
-)
-]
-$1,319:-999971,27975370
-x1,319:-720896,27975370
-r1,319:-720896,27975370:0,868211,196608
-g1,319:1425408,27975370
-g1,319:1425408,27975370
-)
-(1,319:1425408,27975370:0,506811,0
-k1,319:0,27975370:-1425408
-(1,319:0,27975370:1425408,506811,0
-x1,319:1130496,27975370
-g1,319:1425408,27975370
-)
-)
-x1,319:3290343,27975370
-x1,319:3910653,27975370
-g1,319:4167333,27975370
-x1,319:5236833,27975370
-x1,319:5643243,27975370
-g1,319:5899923,27975370
-x1,319:6520233,27975370
-g1,319:6776913,27975370
-x1,319:7846413,27975370
-g1,319:8103093,27975370
-x1,319:9536223,27975370
-x1,319:11097693,27975370
-g1,319:11354373,27975370
-x1,319:14678379,27975370
-k1,320:30785864,27975370:16107485
-g1,320:30785864,27975370
-)
-(1,322:2708808,29122250:28077056,589824,196608
-(1,321:2708808,29122250:0,589824,196608
-g1,321:2708808,29122250
-g1,321:1682088,29122250
-g1,321:1425408,29122250
-(1,321:1425408,29122250:1026720,589824,196608
-g1,321:1425408,29122250
-(1,321:1425408,29122250:1026720,589824,196608
-k1,321:1439668,29122250:14260
-x1,321:1739128,29122250
-k1,321:1753388,29122250:14260
-x1,321:2138408,29122250
-k1,321:2152668,29122250:14260
-x1,321:2452128,29122250
-)
-)
-g1,321:2708808,29122250
-)
-x1,321:4210386,29122250
-g1,321:4467066,29122250
-x1,321:4984704,29122250
-g1,321:5241384,29122250
-x1,321:5626404,29122250
-g1,321:5883084,29122250
-x1,321:8903352,29122250
-k1,322:30785864,29122250:21882512
-g1,322:30785864,29122250
-)
-(1,337:2708808,31896485:28077056,2512091,2118874
-$1,336:2708808,31896485
-[1,336:2708808,31896485:28077056,2512091,2118874
-(1,336:2708808,34015359:28077056,4630965,0
-h1,332:2708808,34015359:0,0,0
-[1,336:2708808,34015359:23440113,4630965,0
-(1,336:2708808,31963004:23440113,2578610,2052355
-(1,332:2708808,31963004:23440113,2578610,2052355
-(1,332:2708808,34015359:0,0,0
-)
-(1,332:2708808,31963004:23440113,2578610,2052355
-(1,332:2708808,34015359:23440113,4630965,0
-[1,332:2708808,34015359:23440113,4630965,0
-(1,332:2708808,29384394:23440113,0,0
-h1,332:2708808,29384394:0,0,0
-k1,332:26148920,29384394:23440112
-g1,332:26148920,29384394
-)
-]
-)
-)
-(1,332:26148921,29384394:0,0,0
-)
-)
-g1,336:26148921,31963004
-g1,336:26148921,31963004
-)
-]
-k1,336:30785864,34015359:4636943
-g1,336:30785864,34015359
-)
-]
-$1,336:30785864,31896485
-g1,337:30785864,31896485
-g1,337:30785864,31896485
-)
-(1,337:2708808,33795810:28077056,665187,285084
-r1,337:2708808,33795810:0,950271,285084
-(1,337:2708808,33795810:28077056,0,0
-(1,337:2708808,33795810:28077056,4630965,0
-[1,337:2708808,33795810:28077056,4630965,0
-(1,337:2708808,33992418:28077056,4630965,0
-h1,337:2708808,33992418:0,0,0
-k1,337:26345528,33992418:23636720
-(70,2:26345528,33992418:4183655,4630965,0
-(70,2:26345528,33992418:4183655,4630965,0
-)
-)
-g70,2:30785863,33992418
-g1,337:30785863,33992418
-g1,337:30785863,33992418
-)
-]
-)
-)
-g1,337:30785864,33795810
-)
-(1,337:2708808,34746082:28077056,665187,285084
-r1,337:2708808,34746082:0,950271,285084
-k1,337:30785864,34746082:28077056
-g1,337:30785864,34746082
-)
-(1,340:2708808,35892962:28077056,589824,196608
-(1,339:2708808,35892962:0,589824,196608
-g1,339:2708808,35892962
-g1,339:1682088,35892962
-g1,339:1425408,35892962
-(1,339:1425408,35892962:1026720,589824,196608
-g1,339:1425408,35892962
-(1,339:1425408,35892962:1026720,589824,196608
-g1,339:1425408,35892962
-x1,339:1724868,35892962
-g1,339:1724868,35892962
-x1,339:2152668,35892962
-g1,339:2152668,35892962
-x1,339:2452128,35892962
-)
-)
-g1,339:2708808,35892962
-)
-x1,339:4210386,35892962
-g1,339:4467066,35892962
-x1,339:4984704,35892962
-g1,339:5241384,35892962
-x1,339:5626404,35892962
-g1,339:5883084,35892962
-x1,339:8903352,35892962
-k1,340:30785864,35892962:21882512
-g1,340:30785864,35892962
-)
-(1,345:2708808,38194341:28077056,2039235,1512980
-(1,340:2708808,38194341:28077056,2039235,1512980
-(1,340:2708808,39707321:0,0,0
-)
-(1,340:2708808,38194341:28077056,2039235,1512980
-(1,340:2708808,39707321:28077056,3552215,0
-[1,340:2708808,39707321:28077056,3552215,0
-(1,340:2708808,36155106:28077056,0,0
-h1,340:2708808,36155106:0,0,0
-k1,340:30785864,36155106:28077056
-g1,340:30785864,36155106
-)
-]
-)
-)
-(1,340:30785864,36155106:0,0,0
-)
-)
-g1,345:30785864,38194341
-g1,345:30785864,38194341
-)
-]
-(1,357:0,42626580:30785864,0,0
-(1,357:0,42626580:30785864,0,0
-(1,357:0,42626580:0,0,0
-r1,357:0,42626580:0,0,0
-g1,357:30785864,42626580
-g1,357:30785864,42626580
-r1,357:30785864,42626580:0,0,0
-g1,357:31506760,42626580
-g1,357:31506760,42626580
-(1,357:31506760,42626580:2293760,0,0
-(1,357:31506760,43971707:2293760,1345127,951910
-$1,357:31506760,43971707
-[1,357:31506760,43971707:2293760,1345127,951910
-(1,357:31506760,43971707:2293760,1345127,951910
-h1,357:31506760,43971707:0,0,0
-(1,357:31506760,43971707:2293760,1345127,951910
-$1,357:31506760,43971707
-[1,357:31506760,43971707:2293760,1345127,951910
-(1,357:31506760,43635834:2293760,983040,285084
-g1,357:31506760,43635834
-(1,357:31506760,43635834:2293760,983040,285084
-r1,357:31506760,43635834:0,950271,285084
-r1,357:31532974,43635834:26214,1268124,285084
-g1,357:31532974,43635834
-k1,357:32653640,43635834:1120666
-r1,357:32653640,43635834:0,1268124,285084
-k1,357:33774306,43635834:1120666
-g1,357:33774306,43635834
-r1,357:33800520,43635834:26214,1268124,285084
-)
-g1,357:33800520,43635834
-)
-(1,357:31506760,44612319:2293760,665187,285084
-g1,357:31506760,44612319
-(1,357:31506760,44612319:2293760,665187,285084
-r1,357:31506760,44612319:0,950271,285084
-r1,357:31532974,44612319:26214,950271,285084
-g1,357:31532974,44612319
-g1,357:31532974,44612319
-(1,357:31532974,44612319:2241332,462465,139537
-k1,357:31754623,44612319:221649
-$1,357:31754623,44612319
-(1,357:31754623,44612319:717620,462465,0
-x1,357:32472243,44612319
-)
-g1,357:32591844,44612319
-(1,357:32591844,44612319:960814,441393,139537
-(1,357:32591844,44612319:960814,441393,139537
-x1,357:33552658,44612319
-)
-)
-$1,357:33552658,44612319
-k1,357:33774306,44612319:221648
-)
-g1,357:33774306,44612319
-g1,357:33774306,44612319
-r1,357:33800520,44612319:26214,950271,285084
-)
-g1,357:33800520,44612319
-)
-]
-$1,357:33800520,43971707
-)
-g1,357:33800520,43971707
-g1,357:33800520,43971707
-)
-]
-$1,357:33800520,43971707
-)
-)
-k1,357:0,42626580:-33800520
-)
-g1,357:0,42626580
-k1,357:15392932,42626580:15392932
-k1,357:30785864,42626580:15392932
-)
-)
-]
-]
-]
-!29873
-}3
-!10
-{4
-[1,430:0,42626580:30785864,42626580,0
-[1,430:0,0:0,0,0
-(1,430:0,-2433924:0,0,0
-k1,430:0,-2433924:65781
-)
-]
-[1,430:0,42626580:30785864,42626580,0
-[1,430:0,42626580:30785864,44994723,0
-[1,430:0,-1581711:30785864,786432,0
-(1,430:0,-1581711:30785864,589824,196608
-(1,430:0,-1581711:30785864,589824,196608
-r1,430:0,-1581711:0,786432,196608
-g1,430:0,-1581711
-g1,430:0,-1581711
-(1,430:0,-1581711:30785864,589824,196608
-g1,430:0,-1581711
-x1,430:2267340,-1581711
-k1,430:8668980,-1581711:6401640
-x1,430:9054000,-1581711
-g1,430:9310680,-1581711
-x1,430:9834599,-1581711
-x1,430:10925489,-1581711
-g1,430:11182169,-1581711
-x1,430:11567189,-1581711
-g1,430:11823869,-1581711
-x1,430:12444179,-1581711
-g1,430:12700859,-1581711
-x1,430:13085879,-1581711
-g1,430:13342559,-1581711
-x1,430:13727579,-1581711
-k1,430:20129219,-1581711:6401640
-$1,430:20129219,-1581711
-[1,430:20129219,-1581711:10656645,537395,157280
-(1,430:20129219,-1581711:10656645,537395,0
-(1,430:20129219,-1581711:10656645,537395,0
-(1,430:20129219,-1581711:10656645,537395,0
-x1,430:22289336,-1581711
-k1,430:30785864,-1581711:8496528
-)
-)
-)
-]
-$1,430:30785864,-1581711
-(1,430:30785864,-1581711:0,559146,164453
-(1,430:30785864,-1515930:0,624927,98672
-(1,430:30785864,-1515930:0,624927,98672
-k1,430:22546016,-1515930:-8239848
-(1,430:22546016,-1515930:8239848,624927,98672
-(1,430:22546016,-1417258:0,0,0
-)
-(1,430:22546016,-1515930:8239848,624927,98672
-(1,430:22546016,-1417258:8239848,723599,0
-[1,430:22546016,-1417258:8239848,723599,0
-(1,430:22546016,-2140857:8239848,0,0
-h1,430:22546016,-2140857:0,0,0
-k1,430:30785864,-2140857:8239848
-g1,430:30785864,-2140857
-)
-]
-)
-)
-(1,430:30785864,-2140857:0,0,0
-)
-)
-)
-)
-)
-g1,430:30785864,-1581711
-)
-)
-)
-]
-[1,430:0,41905684:30785864,42176675,0
-(1,359:1425408,515441:29360456,589824,196608
-(1,357:1425408,515441:0,0,0
-g1,357:1425408,515441
-g1,357:0,515441
-g1,357:-256680,515441
-(1,357:-256680,515441:1425408,0,0
-k1,357:1168728,515441:1425408
-)
-g1,357:1425408,515441
-)
-g1,357:1425408,515441
-(1,357:1425408,515441:0,589824,196608
-k1,357:-2795666,515441:-4221074
-x1,357:-2496206,515441
-$1,357:-2496206,515441
-(1,357:-2496206,515441:717620,462465,0
-x1,357:-1778586,515441
-)
-(1,357:-1778586,255023:778615,322479,101945
-x1,357:-1032739,255023
-)
-$1,357:-999971,515441
-x1,357:-720896,515441
-r1,357:-720896,515441:0,786432,196608
-g1,357:1425408,515441
-g1,357:1425408,515441
-)
-(1,357:1425408,515441:0,506811,0
-k1,357:0,515441:-1425408
-(1,357:0,515441:1425408,506811,0
-x1,357:1130496,515441
-g1,357:1425408,515441
-)
-)
-x1,357:2858538,515441
-x1,357:3179388,515441
-g1,357:3436068,515441
-x1,357:4505568,515441
-x1,357:4911978,515441
-g1,357:5168658,515441
-x1,357:5788968,515441
-g1,357:6045648,515441
-x1,357:7115148,515441
-g1,357:7371828,515441
-x1,357:8804958,515441
-x1,357:10580328,515441
-g1,357:10922568,515441
-x1,357:11713725,515441
-x1,357:12740445,515441
-g1,357:12997125,515441
-g1,357:12997125,515441
-g1,357:12740445,515441
-g1,357:12997125,515441
-g1,357:12997125,515441
-x1,357:13809945,515441
-g1,357:14066625,515441
-x1,357:15136125,515441
-g1,357:15392805,515441
-x1,357:16547865,515441
-x1,357:16932885,515441
-g1,357:17189565,515441
-x1,357:17809875,515441
-g1,357:18066555,515441
-x1,357:19606635,515441
-g1,357:19863315,515441
-x1,357:20462235,515441
-k1,359:30785864,515441:10323629
-g1,359:30785864,515441
-)
-(1,384:1425408,13155585:29360456,12279696,152916
-[1,384:1425408,13155585:14352548,12279696,0
-(1,364:2708808,1662321:13069148,589824,196608
-(1,363:2708808,1662321:0,589824,196608
-g1,363:2708808,1662321
-g1,363:1682088,1662321
-g1,363:1425408,1662321
-(1,363:1425408,1662321:1026720,589824,196608
-g1,363:1425408,1662321
-(1,363:1425408,1662321:1026720,589824,196608
-k1,363:1439668,1662321:14260
-x1,363:1739128,1662321
-k1,363:1753388,1662321:14260
-x1,363:2138408,1662321
-k1,363:2152668,1662321:14260
-x1,363:2452128,1662321
-)
-)
-g1,363:2708808,1662321
-)
-x1,363:4210386,1662321
-k1,363:4528146,1662321:317760
-x1,363:5045784,1662321
-k1,363:5363543,1662321:317759
-x1,363:5748563,1662321
-k1,363:6066323,1662321:317760
-x1,363:7546511,1662321
-x1,363:9086591,1662321
-k1,363:9612070,1662321:525479
-x1,363:10499619,1662321
-k1,363:10817379,1662321:317760
-x1,363:12190617,1662321
-k1,363:12508376,1662321:317759
-x1,363:12914786,1662321
-x1,363:13706216,1662321
-k1,363:14023976,1662321:317760
-x1,363:15777956,1662321
-k1,363:15777956,1662321:0
-)
-(1,364:2708808,2612593:13069148,546132,152916
-x1,364:4141938,2612593
-x1,363:4462788,2612593
-g1,363:4719468,2612593
-x1,363:5532288,2612593
-x1,363:5917308,2612593
-g1,363:6173988,2612593
-x1,363:6815688,2612593
-x1,363:8013528,2612593
-g1,363:8270208,2612593
-x1,363:9403605,2612593
-g1,363:9660285,2612593
-x1,363:10216425,2612593
-x1,363:11221755,2612593
-x1,363:11628165,2612593
-x1,363:12868785,2612593
-k1,364:15777956,2612593:2909171
-g1,364:15777956,2612593
-)
-(1,368:2708808,5462315:13069148,2631270,2105016
-(1,365:2708808,5462315:13069148,2631270,2105016
-(1,365:2708808,7567331:0,0,0
-)
-(1,365:2708808,5462315:13069148,2631270,2105016
-(1,365:2708808,7567331:13069148,4736286,0
-[1,365:2708808,7567331:13069148,4736286,0
-(1,365:2708808,2831045:13069148,0,0
-h1,365:2708808,2831045:0,0,0
-k1,365:15777956,2831045:13069148
-g1,365:15777956,2831045
-)
-]
-)
-)
-(1,365:15777956,2831045:0,0,0
-)
-)
-g1,368:15777956,5462315
-g1,368:15777956,5462315
-)
-(1,370:2708808,8419299:13069148,589824,196608
-(1,369:2708808,8419299:0,589824,196608
-g1,369:2708808,8419299
-g1,369:1682088,8419299
-g1,369:1425408,8419299
-(1,369:1425408,8419299:1026720,589824,196608
-g1,369:1425408,8419299
-(1,369:1425408,8419299:1026720,589824,196608
-g1,369:1425408,8419299
-x1,369:1724868,8419299
-g1,369:1724868,8419299
-x1,369:2152668,8419299
-g1,369:2152668,8419299
-x1,369:2452128,8419299
-)
-)
-g1,369:2708808,8419299
-)
-x1,369:4210386,8419299
-g1,369:4467066,8419299
-x1,369:4984704,8419299
-g1,369:5241384,8419299
-x1,369:5626404,8419299
-g1,369:5883084,8419299
-x1,369:7363272,8419299
-x1,369:8903352,8419299
-k1,370:15777956,8419299:6874604
-g1,370:15777956,8419299
-)
-(1,373:2708808,11312713:13069148,2631270,2105016
-(1,370:2708808,11312713:13069148,2631270,2105016
-(1,370:2708808,13417729:0,0,0
-)
-(1,370:2708808,11312713:13069148,2631270,2105016
-(1,370:2708808,13417729:13069148,4736286,0
-[1,370:2708808,13417729:13069148,4736286,0
-(1,370:2708808,8681443:13069148,0,0
-h1,370:2708808,8681443:0,0,0
-k1,370:15777956,8681443:13069148
-g1,370:15777956,8681443
-)
-]
-)
-)
-(1,370:15777956,8681443:0,0,0
-)
-)
-g1,373:15777956,11312713
-g1,373:15777956,11312713
-)
-]
-k1,384:16092529,13155585:314573
-r1,384:16118743,13155585:26214,12432612,152916
-k1,384:16433316,13155585:314573
-[1,384:16433316,13155585:14352548,12279696,0
-(1,375:17716716,1662321:13069148,589824,196608
-(1,374:17716716,1662321:0,589824,196608
-g1,374:17716716,1662321
-g1,374:16689996,1662321
-g1,374:16433316,1662321
-(1,374:16433316,1662321:1026720,589824,196608
-g1,374:16433316,1662321
-(1,374:16433316,1662321:1026720,589824,196608
-k1,374:16461836,1662321:28520
-x1,374:16761296,1662321
-k1,374:16789816,1662321:28520
-x1,374:17132056,1662321
-k1,374:17160576,1662321:28520
-x1,374:17460036,1662321
-)
-)
-g1,374:17716716,1662321
-)
-x1,374:19218294,1662321
-g1,374:19474974,1662321
-x1,374:19992612,1662321
-g1,374:20249292,1662321
-x1,374:20634312,1662321
-g1,374:20890992,1662321
-x1,374:22371180,1662321
-x1,374:23911260,1662321
-k1,375:30785864,1662321:6874604
-g1,375:30785864,1662321
-)
-(1,378:17716716,4555735:13069148,2631270,2105016
-(1,375:17716716,4555735:13069148,2631270,2105016
-(1,375:17716716,6660751:0,0,0
-)
-(1,375:17716716,4555735:13069148,2631270,2105016
-(1,375:17716716,6660751:13069148,4736286,0
-[1,375:17716716,6660751:13069148,4736286,0
-(1,375:17716716,1924465:13069148,0,0
-h1,375:17716716,1924465:0,0,0
-k1,375:30785864,1924465:13069148
-g1,375:30785864,1924465
-)
-]
-)
-)
-(1,375:30785864,1924465:0,0,0
-)
-)
-g1,378:30785864,4555735
-g1,378:30785864,4555735
-)
-(1,380:17716716,7512719:13069148,589824,196608
-(1,379:17716716,7512719:0,589824,196608
-g1,379:17716716,7512719
-g1,379:16689996,7512719
-g1,379:16433316,7512719
-(1,379:16433316,7512719:1026720,589824,196608
-g1,379:16433316,7512719
-(1,379:16433316,7512719:1026720,589824,196608
-g1,379:16433316,7512719
-x1,379:16732776,7512719
-g1,379:16732776,7512719
-x1,379:17160576,7512719
-g1,379:17160576,7512719
-x1,379:17460036,7512719
-)
-)
-g1,379:17716716,7512719
-)
-x1,379:19218294,7512719
-g1,379:19474974,7512719
-x1,379:19992612,7512719
-g1,379:20249292,7512719
-x1,379:20634312,7512719
-g1,379:20890992,7512719
-x1,379:22371180,7512719
-x1,379:23911260,7512719
-k1,380:30785864,7512719:6874604
-g1,380:30785864,7512719
-)
-(1,383:17716716,10406133:13069148,2631270,2105016
-(1,380:17716716,10406133:13069148,2631270,2105016
-(1,380:17716716,12511149:0,0,0
-)
-(1,380:17716716,10406133:13069148,2631270,2105016
-(1,380:17716716,12511149:13069148,4736286,0
-[1,380:17716716,12511149:13069148,4736286,0
-(1,380:17716716,7774863:13069148,0,0
-h1,380:17716716,7774863:0,0,0
-k1,380:30785864,7774863:13069148
-g1,380:30785864,7774863
-)
-]
-)
-)
-(1,380:30785864,7774863:0,0,0
-)
-)
-g1,383:30785864,10406133
-g1,383:30785864,10406133
-)
-]
-(1,384:30785864,13155585:0,338603,152916
-h1,384:30785864,13155585:427800,338603,152916
-k1,384:30785864,13155585:-427800
-)
-)
-(1,388:0,14741154:30785864,26214,0
-h1,387:0,14741154:1155060,0,0
-(1,387:1155060,14741154:29360456,26214,0
-k1,387:5522052,14741154:4366992
-(1,387:5522052,14741154:20626472,26214,0
-r1,387:26148524,14741154:20626472,26214,0
-)
-k1,387:30515516,14741154:4366992
-)
-k1,388:30785864,14741154:270348
-g1,388:30785864,14741154
-)
-(1,393:0,15990987:30785864,589824,196608
-g1,391:0,15990987
-(1,391:0,15990987:0,0,0
-g1,391:0,15990987
-g1,391:0,15990987
-)
-x1,391:604388,15990987
-x1,391:1715315,15990987
-g1,391:2010227,15990987
-x1,391:2339728,15990987
-x1,391:2939565,15990987
-g1,391:3234477,15990987
-x1,391:3533937,15990987
-$1,391:3533937,15990987
-(1,391:3533937,15990987:770040,506811,0
-x1,391:4303977,15990987
-)
-g1,391:4435047,15990987
-(1,391:4435047,15990987:2057718,521949,152916
-(1,391:4435047,15990987:2057718,521949,152916
-x1,391:4862847,15990987
-x1,391:5910957,15990987
-x1,391:6492765,15990987
-)
-)
-$1,391:6492765,15990987
-x1,391:6792225,15990987
-g1,391:7087137,15990987
-g1,391:7087137,15990987
-g1,391:7087137,15990987
-x1,391:8413317,15990987
-g1,392:8669997,15990987
-x1,392:10103127,15990987
-x1,392:11664597,15990987
-g1,392:11921277,15990987
-x1,392:12438915,15990987
-g1,392:12695595,15990987
-x1,392:13080615,15990987
-g1,392:13337295,15990987
-x1,392:15052773,15990987
-g1,392:15309453,15990987
-x1,392:17469843,15990987
-g1,392:17726523,15990987
-x1,392:18346833,15990987
-g1,392:18603513,15990987
-x1,392:22030191,15990987
-g1,392:22286871,15990987
-x1,392:25328529,15990987
-g1,392:25585209,15990987
-x1,392:28579809,15990987
-k1,393:30785864,15990987:2206055
-g1,393:30785864,15990987
-)
-(1,397:1425408,17137867:29360456,589824,196608
-(1,395:1425408,17137867:0,0,0
-g1,395:1425408,17137867
-g1,395:0,17137867
-g1,395:-256680,17137867
-(1,395:-256680,17137867:1425408,0,0
-k1,395:1168728,17137867:1425408
-)
-g1,395:1425408,17137867
-)
-g1,396:1425408,17137867
-(1,396:1425408,17137867:0,589824,196608
-k1,396:-2436856,17137867:-3862264
-x1,396:-2137396,17137867
-$1,396:-2137396,17137867
-x1,396:-1778586,17137867
-(1,396:-1778586,16877449:778615,322479,101945
-x1,396:-1032739,16877449
-)
-$1,396:-999971,17137867
-x1,396:-720896,17137867
-r1,396:-720896,17137867:0,786432,196608
-g1,396:1425408,17137867
-g1,396:1425408,17137867
-)
-(1,396:1425408,17137867:0,506811,0
-k1,396:442368,17137867:-983040
-(1,396:442368,17137867:983040,506811,0
-x1,396:1130496,17137867
-g1,396:1425408,17137867
-)
-)
-x1,396:2926986,17137867
-g1,396:3183666,17137867
-x1,396:3701304,17137867
-g1,396:3957984,17137867
-x1,396:4343004,17137867
-g1,396:4599684,17137867
-x1,396:7619952,17137867
-k1,397:30785864,17137867:23165912
-g1,397:30785864,17137867
-)
-(1,400:1425408,18847210:29360456,1447199,920944
-(1,397:1425408,18847210:29360456,1447199,920944
-(1,397:1425408,19768154:0,0,0
-)
-(1,397:1425408,18847210:29360456,1447199,920944
-(1,397:1425408,19768154:29360456,2368143,0
-[1,397:1425408,19768154:29360456,2368143,0
-(1,397:1425408,17400011:29360456,0,0
-h1,397:1425408,17400011:0,0,0
-k1,397:30785864,17400011:29360456
-g1,397:30785864,17400011
-)
-]
-)
-)
-(1,397:30785864,17400011:0,0,0
-)
-)
-g1,400:30785864,18847210
-g1,400:30785864,18847210
-)
-(1,404:1425408,20816730:29360456,589824,196608
-(1,402:1425408,20816730:0,0,0
-g1,402:1425408,20816730
-g1,402:0,20816730
-g1,402:-256680,20816730
-(1,402:-256680,20816730:1425408,0,0
-k1,402:1168728,20816730:1425408
-)
-g1,402:1425408,20816730
-)
-g1,403:1425408,20816730
-(1,403:1425408,20816730:0,589824,196608
-k1,403:-2436856,20816730:-3862264
-x1,403:-2137396,20816730
-$1,403:-2137396,20816730
-x1,403:-1778586,20816730
-(1,403:-1778586,20556312:778615,322479,101945
-x1,403:-1032739,20556312
-)
-$1,403:-999971,20816730
-x1,403:-720896,20816730
-r1,403:-720896,20816730:0,786432,196608
-g1,403:1425408,20816730
-g1,403:1425408,20816730
-)
-(1,403:1425408,20816730:0,506811,0
-k1,403:442368,20816730:-983040
-(1,403:442368,20816730:983040,506811,0
-x1,403:1130496,20816730
-g1,403:1425408,20816730
-)
-)
-x1,403:2926986,20816730
-g1,403:3183666,20816730
-x1,403:3701304,20816730
-g1,403:3957984,20816730
-x1,403:4343004,20816730
-g1,403:4599684,20816730
-x1,403:7619952,20816730
-k1,404:30785864,20816730:23165912
-g1,404:30785864,20816730
-)
-(1,407:1425408,22526073:29360456,1447199,920944
-(1,404:1425408,22526073:29360456,1447199,920944
-(1,404:1425408,23447017:0,0,0
-)
-(1,404:1425408,22526073:29360456,1447199,920944
-(1,404:1425408,23447017:29360456,2368143,0
-[1,404:1425408,23447017:29360456,2368143,0
-(1,404:1425408,21078874:29360456,0,0
-h1,404:1425408,21078874:0,0,0
-k1,404:30785864,21078874:29360456
-g1,404:30785864,21078874
-)
-]
-)
-)
-(1,404:30785864,21078874:0,0,0
-)
-)
-g1,407:30785864,22526073
-g1,407:30785864,22526073
-)
-(1,411:1425408,24495593:29360456,589824,196608
-(1,409:1425408,24495593:0,0,0
-g1,409:1425408,24495593
-g1,409:0,24495593
-g1,409:-256680,24495593
-(1,409:-256680,24495593:1425408,0,0
-k1,409:1168728,24495593:1425408
-)
-g1,409:1425408,24495593
-)
-g1,410:1425408,24495593
-(1,410:1425408,24495593:0,589824,196608
-k1,410:-2436856,24495593:-3862264
-x1,410:-2137396,24495593
-$1,410:-2137396,24495593
-x1,410:-1778586,24495593
-(1,410:-1778586,24235175:778615,322479,101945
-x1,410:-1032739,24235175
-)
-$1,410:-999971,24495593
-x1,410:-720896,24495593
-r1,410:-720896,24495593:0,786432,196608
-g1,410:1425408,24495593
-g1,410:1425408,24495593
-)
-(1,410:1425408,24495593:0,506811,0
-k1,410:442368,24495593:-983040
-(1,410:442368,24495593:983040,506811,0
-x1,410:1130496,24495593
-g1,410:1425408,24495593
-)
-)
-x1,410:2926986,24495593
-g1,410:3183666,24495593
-x1,410:3701304,24495593
-g1,410:3957984,24495593
-x1,410:4343004,24495593
-g1,410:4599684,24495593
-x1,410:7619952,24495593
-k1,411:30785864,24495593:23165912
-g1,411:30785864,24495593
-)
-(1,414:1425408,27389007:29360456,2631270,2105016
-(1,411:1425408,27389007:29360456,2631270,2105016
-(1,411:1425408,29494023:0,0,0
-)
-(1,411:1425408,27389007:29360456,2631270,2105016
-(1,411:1425408,29494023:29360456,4736286,0
-[1,411:1425408,29494023:29360456,4736286,0
-(1,411:1425408,24757737:29360456,0,0
-h1,411:1425408,24757737:0,0,0
-k1,411:30785864,24757737:29360456
-g1,411:30785864,24757737
-)
-]
-)
-)
-(1,411:30785864,24757737:0,0,0
-)
-)
-g1,414:30785864,27389007
-g1,414:30785864,27389007
-)
-(1,418:1425408,30542599:29360456,589824,196608
-(1,416:1425408,30542599:0,0,0
-g1,416:1425408,30542599
-g1,416:0,30542599
-g1,416:-256680,30542599
-(1,416:-256680,30542599:1425408,0,0
-k1,416:1168728,30542599:1425408
-)
-g1,416:1425408,30542599
-)
-g1,417:1425408,30542599
-(1,417:1425408,30542599:0,589824,196608
-k1,417:-2436856,30542599:-3862264
-x1,417:-2137396,30542599
-$1,417:-2137396,30542599
-x1,417:-1778586,30542599
-(1,417:-1778586,30282181:778615,322479,101945
-x1,417:-1032739,30282181
-)
-$1,417:-999971,30542599
-x1,417:-720896,30542599
-r1,417:-720896,30542599:0,786432,196608
-g1,417:1425408,30542599
-g1,417:1425408,30542599
-)
-(1,417:1425408,30542599:0,506811,0
-k1,417:442368,30542599:-983040
-(1,417:442368,30542599:983040,506811,0
-x1,417:1130496,30542599
-g1,417:1425408,30542599
-)
-)
-x1,417:2926986,30542599
-g1,417:3183666,30542599
-x1,417:3701304,30542599
-g1,417:3957984,30542599
-x1,417:4343004,30542599
-g1,417:4599684,30542599
-x1,417:7619952,30542599
-k1,418:30785864,30542599:23165912
-g1,418:30785864,30542599
-)
-(1,421:1425408,33436013:29360456,2631270,2105016
-(1,418:1425408,33436013:29360456,2631270,2105016
-(1,418:1425408,35541029:0,0,0
-)
-(1,418:1425408,33436013:29360456,2631270,2105016
-(1,418:1425408,35541029:29360456,4736286,0
-[1,418:1425408,35541029:29360456,4736286,0
-(1,418:1425408,30804743:29360456,0,0
-h1,418:1425408,30804743:0,0,0
-k1,418:30785864,30804743:29360456
-g1,418:30785864,30804743
-)
-]
-)
-)
-(1,418:30785864,30804743:0,0,0
-)
-)
-g1,421:30785864,33436013
-g1,421:30785864,33436013
-)
-(1,425:1425408,36589605:29360456,589824,196608
-(1,423:1425408,36589605:0,0,0
-g1,423:1425408,36589605
-g1,423:0,36589605
-g1,423:-256680,36589605
-(1,423:-256680,36589605:1425408,0,0
-k1,423:1168728,36589605:1425408
-)
-g1,423:1425408,36589605
-)
-g1,424:1425408,36589605
-(1,424:1425408,36589605:0,589824,196608
-k1,424:-2795666,36589605:-4221074
-x1,424:-2496206,36589605
-$1,424:-2496206,36589605
-(1,424:-2496206,36589605:717620,462465,0
-x1,424:-1778586,36589605
-)
-(1,424:-1778586,36329187:778615,322479,101945
-x1,424:-1032739,36329187
-)
-$1,424:-999971,36589605
-x1,424:-720896,36589605
-r1,424:-720896,36589605:0,786432,196608
-g1,424:1425408,36589605
-g1,424:1425408,36589605
-)
-(1,424:1425408,36589605:0,506811,0
-k1,424:442368,36589605:-983040
-(1,424:442368,36589605:983040,506811,0
-x1,424:1130496,36589605
-g1,424:1425408,36589605
-)
-)
-x1,424:2926986,36589605
-g1,424:3183666,36589605
-x1,424:3701304,36589605
-g1,424:3957984,36589605
-x1,424:4343004,36589605
-g1,424:4599684,36589605
-x1,424:7619952,36589605
-k1,425:30785864,36589605:23165912
-g1,425:30785864,36589605
-)
-(1,428:1425408,39483019:29360456,2631270,2105016
-(1,425:1425408,39483019:29360456,2631270,2105016
-(1,425:1425408,41588035:0,0,0
-)
-(1,425:1425408,39483019:29360456,2631270,2105016
-(1,425:1425408,41588035:29360456,4736286,0
-[1,425:1425408,41588035:29360456,4736286,0
-(1,425:1425408,36851749:29360456,0,0
-h1,425:1425408,36851749:0,0,0
-k1,425:30785864,36851749:29360456
-g1,425:30785864,36851749
-)
-]
-)
-)
-(1,425:30785864,36851749:0,0,0
-)
-)
-g1,428:30785864,39483019
-g1,428:30785864,39483019
-)
-]
-(1,430:0,42626580:30785864,0,0
-(1,430:0,42626580:30785864,0,0
-(1,430:0,42626580:0,0,0
-r1,430:0,42626580:0,0,0
-g1,430:30785864,42626580
-g1,430:30785864,42626580
-r1,430:30785864,42626580:0,0,0
-g1,430:31506760,42626580
-g1,430:31506760,42626580
-(1,430:31506760,42626580:2293760,0,0
-(1,430:31506760,43971707:2293760,1345127,951910
-$1,430:31506760,43971707
-[1,430:31506760,43971707:2293760,1345127,951910
-(1,430:31506760,43971707:2293760,1345127,951910
-h1,430:31506760,43971707:0,0,0
-(1,430:31506760,43971707:2293760,1345127,951910
-$1,430:31506760,43971707
-[1,430:31506760,43971707:2293760,1345127,951910
-(1,430:31506760,43635834:2293760,983040,285084
-g1,430:31506760,43635834
-(1,430:31506760,43635834:2293760,983040,285084
-r1,430:31506760,43635834:0,950271,285084
-r1,430:31532974,43635834:26214,1268124,285084
-g1,430:31532974,43635834
-k1,430:32653640,43635834:1120666
-r1,430:32653640,43635834:0,1268124,285084
-k1,430:33774306,43635834:1120666
-g1,430:33774306,43635834
-r1,430:33800520,43635834:26214,1268124,285084
-)
-g1,430:33800520,43635834
-)
-(1,430:31506760,44612319:2293760,665187,285084
-g1,430:31506760,44612319
-(1,430:31506760,44612319:2293760,665187,285084
-r1,430:31506760,44612319:0,950271,285084
-r1,430:31532974,44612319:26214,950271,285084
-g1,430:31532974,44612319
-g1,430:31532974,44612319
-(1,430:31532974,44612319:2241332,462465,139537
-k1,430:31754623,44612319:221649
-$1,430:31754623,44612319
-(1,430:31754623,44612319:717620,462465,0
-x1,430:32472243,44612319
-)
-g1,430:32591844,44612319
-(1,430:32591844,44612319:960814,441393,139537
-(1,430:32591844,44612319:960814,441393,139537
-x1,430:33552658,44612319
-)
-)
-$1,430:33552658,44612319
-k1,430:33774306,44612319:221648
-)
-g1,430:33774306,44612319
-g1,430:33774306,44612319
-r1,430:33800520,44612319:26214,950271,285084
-)
-g1,430:33800520,44612319
-)
-]
-$1,430:33800520,43971707
-)
-g1,430:33800520,43971707
-g1,430:33800520,43971707
-)
-]
-$1,430:33800520,43971707
-)
-)
-k1,430:0,42626580:-33800520
-)
-g1,430:0,42626580
-k1,430:15392932,42626580:15392932
-k1,430:30785864,42626580:15392932
-)
-)
-]
-]
-]
-!20154
-}4
-!10
-{5
-[1,445:0,42626580:30785864,42626580,0
-[1,445:0,0:0,0,0
-(1,445:0,-2433924:0,0,0
-k1,445:0,-2433924:65781
-)
-]
-[1,445:0,42626580:30785864,42626580,0
-[1,445:0,42626580:30785864,44994723,0
-[1,445:0,-1581711:30785864,786432,0
-(1,445:0,-1581711:30785864,589824,196608
-(1,445:0,-1581711:30785864,589824,196608
-r1,445:0,-1581711:0,786432,196608
-g1,445:0,-1581711
-g1,445:0,-1581711
-(1,445:0,-1581711:30785864,589824,196608
-g1,445:0,-1581711
-x1,445:2267340,-1581711
-k1,445:8668980,-1581711:6401640
-x1,445:9054000,-1581711
-g1,445:9310680,-1581711
-x1,445:9834599,-1581711
-x1,445:10925489,-1581711
-g1,445:11182169,-1581711
-x1,445:11567189,-1581711
-g1,445:11823869,-1581711
-x1,445:12444179,-1581711
-g1,445:12700859,-1581711
-x1,445:13085879,-1581711
-g1,445:13342559,-1581711
-x1,445:13727579,-1581711
-k1,445:20129219,-1581711:6401640
-$1,445:20129219,-1581711
-[1,445:20129219,-1581711:10656645,537395,157280
-(1,445:20129219,-1581711:10656645,537395,0
-(1,445:20129219,-1581711:10656645,537395,0
-(1,445:20129219,-1581711:10656645,537395,0
-x1,445:22289336,-1581711
-k1,445:30785864,-1581711:8496528
-)
-)
-)
-]
-$1,445:30785864,-1581711
-(1,445:30785864,-1581711:0,559146,164453
-(1,445:30785864,-1515930:0,624927,98672
-(1,445:30785864,-1515930:0,624927,98672
-k1,445:22546016,-1515930:-8239848
-(1,445:22546016,-1515930:8239848,624927,98672
-(1,445:22546016,-1417258:0,0,0
-)
-(1,445:22546016,-1515930:8239848,624927,98672
-(1,445:22546016,-1417258:8239848,723599,0
-[1,445:22546016,-1417258:8239848,723599,0
-(1,445:22546016,-2140857:8239848,0,0
-h1,445:22546016,-2140857:0,0,0
-k1,445:30785864,-2140857:8239848
-g1,445:30785864,-2140857
-)
-]
-)
-)
-(1,445:30785864,-2140857:0,0,0
-)
-)
-)
-)
-)
-g1,445:30785864,-1581711
-)
-)
-)
-]
-[1,445:0,41905684:30785864,42176675,0
-(1,432:1425408,515441:29360456,589824,196608
-(1,430:1425408,515441:0,0,0
-g1,430:1425408,515441
-g1,430:0,515441
-g1,430:-256680,515441
-(1,430:-256680,515441:1425408,0,0
-k1,430:1168728,515441:1425408
-)
-g1,430:1425408,515441
-)
-g1,431:1425408,515441
-(1,431:1425408,515441:0,589824,196608
-k1,431:-2436856,515441:-3862264
-x1,431:-2137396,515441
-$1,431:-2137396,515441
-x1,431:-1778586,515441
-(1,431:-1778586,255023:778615,322479,101945
-x1,431:-1032739,255023
-)
-$1,431:-999971,515441
-x1,431:-720896,515441
-r1,431:-720896,515441:0,786432,196608
-g1,431:1425408,515441
-g1,431:1425408,515441
-)
-(1,431:1425408,515441:0,506811,0
-k1,431:442368,515441:-983040
-(1,431:442368,515441:983040,506811,0
-x1,431:1130496,515441
-g1,431:1425408,515441
-)
-)
-x1,431:2926986,515441
-g1,431:3183666,515441
-x1,431:3701304,515441
-g1,431:3957984,515441
-x1,431:4343004,515441
-g1,431:4599684,515441
-x1,431:7619952,515441
-k1,432:30785864,515441:23165912
-g1,432:30785864,515441
-)
-(1,435:1425408,3408855:29360456,2631270,2105016
-(1,432:1425408,3408855:29360456,2631270,2105016
-(1,432:1425408,5513871:0,0,0
-)
-(1,432:1425408,3408855:29360456,2631270,2105016
-(1,432:1425408,5513871:29360456,4736286,0
-[1,432:1425408,5513871:29360456,4736286,0
-(1,432:1425408,777585:29360456,0,0
-h1,432:1425408,777585:0,0,0
-k1,432:30785864,777585:29360456
-g1,432:30785864,777585
-)
-]
-)
-)
-(1,432:30785864,777585:0,0,0
-)
-)
-g1,435:30785864,3408855
-g1,435:30785864,3408855
-)
-(1,439:1425408,6562447:29360456,589824,196608
-(1,437:1425408,6562447:0,0,0
-g1,437:1425408,6562447
-g1,437:0,6562447
-g1,437:-256680,6562447
-(1,437:-256680,6562447:1425408,0,0
-k1,437:1168728,6562447:1425408
-)
-g1,437:1425408,6562447
-)
-g1,438:1425408,6562447
-(1,438:1425408,6562447:0,589824,196608
-k1,438:-2795666,6562447:-4221074
-x1,438:-2496206,6562447
-$1,438:-2496206,6562447
-(1,438:-2496206,6562447:717620,462465,0
-x1,438:-1778586,6562447
-)
-(1,438:-1778586,6302029:778615,322479,101945
-x1,438:-1032739,6302029
-)
-$1,438:-999971,6562447
-x1,438:-720896,6562447
-r1,438:-720896,6562447:0,786432,196608
-g1,438:1425408,6562447
-g1,438:1425408,6562447
-)
-(1,438:1425408,6562447:0,506811,0
-k1,438:442368,6562447:-983040
-(1,438:442368,6562447:983040,506811,0
-x1,438:1130496,6562447
-g1,438:1425408,6562447
-)
-)
-x1,438:2926986,6562447
-g1,438:3183666,6562447
-x1,438:3701304,6562447
-g1,438:3957984,6562447
-x1,438:4343004,6562447
-g1,438:4599684,6562447
-x1,438:7619952,6562447
-k1,439:30785864,6562447:23165912
-g1,439:30785864,6562447
-)
-(1,442:1425408,9455861:29360456,2631270,2105016
-(1,439:1425408,9455861:29360456,2631270,2105016
-(1,439:1425408,11560877:0,0,0
-)
-(1,439:1425408,9455861:29360456,2631270,2105016
-(1,439:1425408,11560877:29360456,4736286,0
-[1,439:1425408,11560877:29360456,4736286,0
-(1,439:1425408,6824591:29360456,0,0
-h1,439:1425408,6824591:0,0,0
-k1,439:30785864,6824591:29360456
-g1,439:30785864,6824591
-)
-]
-)
-)
-(1,439:30785864,6824591:0,0,0
-)
-)
-g1,442:30785864,9455861
-g1,442:30785864,9455861
-)
-]
-(1,445:0,42626580:30785864,0,0
-(1,445:0,42626580:30785864,0,0
-(1,445:0,42626580:0,0,0
-r1,445:0,42626580:0,0,0
-g1,445:30785864,42626580
-g1,445:30785864,42626580
-r1,445:30785864,42626580:0,0,0
-g1,445:31506760,42626580
-g1,445:31506760,42626580
-(1,445:31506760,42626580:2293760,0,0
-(1,445:31506760,43971707:2293760,1345127,951910
-$1,445:31506760,43971707
-[1,445:31506760,43971707:2293760,1345127,951910
-(1,445:31506760,43971707:2293760,1345127,951910
-h1,445:31506760,43971707:0,0,0
-(1,445:31506760,43971707:2293760,1345127,951910
-$1,445:31506760,43971707
-[1,445:31506760,43971707:2293760,1345127,951910
-(1,445:31506760,43635834:2293760,983040,285084
-g1,445:31506760,43635834
-(1,445:31506760,43635834:2293760,983040,285084
-r1,445:31506760,43635834:0,950271,285084
-r1,445:31532974,43635834:26214,1268124,285084
-g1,445:31532974,43635834
-k1,445:32653640,43635834:1120666
-r1,445:32653640,43635834:0,1268124,285084
-k1,445:33774306,43635834:1120666
-g1,445:33774306,43635834
-r1,445:33800520,43635834:26214,1268124,285084
-)
-g1,445:33800520,43635834
-)
-(1,445:31506760,44612319:2293760,665187,285084
-g1,445:31506760,44612319
-(1,445:31506760,44612319:2293760,665187,285084
-r1,445:31506760,44612319:0,950271,285084
-r1,445:31532974,44612319:26214,950271,285084
-g1,445:31532974,44612319
-g1,445:31532974,44612319
-(1,445:31532974,44612319:2241332,462465,139537
-k1,445:31754623,44612319:221649
-$1,445:31754623,44612319
-(1,445:31754623,44612319:717620,462465,0
-x1,445:32472243,44612319
-)
-g1,445:32591844,44612319
-(1,445:32591844,44612319:960814,441393,139537
-(1,445:32591844,44612319:960814,441393,139537
-x1,445:33552658,44612319
-)
-)
-$1,445:33552658,44612319
-k1,445:33774306,44612319:221648
-)
-g1,445:33774306,44612319
-g1,445:33774306,44612319
-r1,445:33800520,44612319:26214,950271,285084
-)
-g1,445:33800520,44612319
-)
-]
-$1,445:33800520,43971707
-)
-g1,445:33800520,43971707
-g1,445:33800520,43971707
-)
-]
-$1,445:33800520,43971707
-)
-)
-k1,445:0,42626580:-33800520
-)
-g1,445:0,42626580
-k1,445:15392932,42626580:15392932
-k1,445:30785864,42626580:15392932
-)
-)
-]
-]
-]
-!6659
-}5
-Input:71:C:\Users\Public\Documents\My TeX Files\tex\latex\aeb\eqexam\examples\test01.aux
-!98
-Postamble:
-Count:3699
-!26
-Post scriptum: